ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ ('Alibre Inc.'), /* implementation_level */ '2;1'); FILE_NAME( /* name */ 'export0', /* time_stamp */ '2012-03-15T06:50:05-06:00', /* author */ (''), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v14', /* originating_system */ 'Alibre', /* authorisation */ ''); FILE_SCHEMA (('CONFIG_CONTROL_DESIGN')); ENDSEC; DATA; #10=CIRCLE('',#4843,0.158749999999998); #11=CIRCLE('',#4844,0.158749999999998); #12=CIRCLE('',#4846,0.158749999999998); #13=CIRCLE('',#4847,0.158749999999998); #14=CIRCLE('',#4849,0.158749999999998); #15=CIRCLE('',#4850,0.158749999999998); #16=CIRCLE('',#4852,0.158749999999998); #17=CIRCLE('',#4853,0.158749999999998); #18=CIRCLE('',#4855,0.158749999999998); #19=CIRCLE('',#4856,0.158749999999998); #20=CIRCLE('',#4858,0.158749999999998); #21=CIRCLE('',#4859,0.158749999999998); #22=CIRCLE('',#4861,0.158749999999998); #23=CIRCLE('',#4862,0.158749999999998); #24=CIRCLE('',#4864,0.158749999999998); #25=CIRCLE('',#4865,0.158749999999998); #26=CIRCLE('',#4867,0.158749999999998); #27=CIRCLE('',#4868,0.158749999999998); #28=CIRCLE('',#4870,0.158749999999998); #29=CIRCLE('',#4871,0.158749999999998); #30=CIRCLE('',#4873,0.15875); #31=CIRCLE('',#4874,0.15875); #32=CIRCLE('',#4876,0.15875); #33=CIRCLE('',#4877,0.15875); #34=CIRCLE('',#4879,0.158749999999996); #35=CIRCLE('',#4880,0.158749999999996); #36=CIRCLE('',#4882,0.15875); #37=CIRCLE('',#4883,0.15875); #38=CIRCLE('',#4885,0.158749999999998); #39=CIRCLE('',#4886,0.158749999999998); #40=CIRCLE('',#4888,0.15875); #41=CIRCLE('',#4889,0.15875); #42=CIRCLE('',#4891,0.158749999999998); #43=CIRCLE('',#4892,0.158749999999998); #44=CIRCLE('',#4894,0.158749999999998); #45=CIRCLE('',#4895,0.158749999999998); #46=CIRCLE('',#4897,0.158750000000001); #47=CIRCLE('',#4898,0.158750000000001); #48=CIRCLE('',#4900,0.158749999999998); #49=CIRCLE('',#4901,0.158749999999998); #50=CIRCLE('',#4903,0.158749999999998); #51=CIRCLE('',#4904,0.158749999999998); #52=CIRCLE('',#4906,0.158749999999998); #53=CIRCLE('',#4907,0.158749999999998); #54=CIRCLE('',#4909,0.158749999999998); #55=CIRCLE('',#4910,0.158749999999998); #56=CIRCLE('',#4912,0.158749999999998); #57=CIRCLE('',#4913,0.158749999999998); #58=CIRCLE('',#4915,0.158749999999998); #59=CIRCLE('',#4916,0.158749999999998); #60=CIRCLE('',#4918,0.158749999999998); #61=CIRCLE('',#4919,0.158749999999998); #62=CIRCLE('',#4921,0.158749999999998); #63=CIRCLE('',#4922,0.158749999999998); #64=CIRCLE('',#4924,0.158749999999998); #65=CIRCLE('',#4925,0.158749999999998); #66=CIRCLE('',#4927,0.158749999999998); #67=CIRCLE('',#4928,0.158749999999998); #68=CIRCLE('',#4930,0.158749999999998); #69=CIRCLE('',#4931,0.158749999999998); #70=CIRCLE('',#4933,0.158749999999998); #71=CIRCLE('',#4934,0.158749999999998); #72=CIRCLE('',#4936,0.158749999999998); #73=CIRCLE('',#4937,0.158749999999998); #74=CIRCLE('',#4939,0.158749999999998); #75=CIRCLE('',#4940,0.158749999999998); #76=CIRCLE('',#4942,0.158749999999998); #77=CIRCLE('',#4943,0.158749999999998); #78=CIRCLE('',#4945,0.158749999999998); #79=CIRCLE('',#4946,0.158749999999998); #80=CIRCLE('',#4948,0.158749999999998); #81=CIRCLE('',#4949,0.158749999999998); #82=CIRCLE('',#4951,0.158749999999998); #83=CIRCLE('',#4952,0.158749999999998); #84=CIRCLE('',#4954,0.158749999999998); #85=CIRCLE('',#4955,0.158749999999998); #86=CIRCLE('',#4957,0.158749999999998); #87=CIRCLE('',#4958,0.158749999999998); #88=CIRCLE('',#4960,0.158749999999998); #89=CIRCLE('',#4961,0.158749999999998); #90=CIRCLE('',#4963,0.158749999999998); #91=CIRCLE('',#4964,0.158749999999998); #92=CIRCLE('',#4966,0.158749999999998); #93=CIRCLE('',#4967,0.158749999999998); #94=CIRCLE('',#4969,0.158749999999998); #95=CIRCLE('',#4970,0.158749999999998); #96=CIRCLE('',#4972,0.158749999999998); #97=CIRCLE('',#4973,0.158749999999998); #98=CIRCLE('',#4975,0.158750000000005); #99=CIRCLE('',#4976,0.158750000000005); #100=CIRCLE('',#4978,0.158749999999998); #101=CIRCLE('',#4979,0.158749999999998); #102=CIRCLE('',#4981,0.158749999999998); #103=CIRCLE('',#4982,0.158749999999998); #104=CIRCLE('',#4984,0.158749999999998); #105=CIRCLE('',#4985,0.158749999999998); #106=CIRCLE('',#4987,0.158750000000005); #107=CIRCLE('',#4988,0.158750000000005); #108=CIRCLE('',#4990,0.158750000000005); #109=CIRCLE('',#4991,0.158750000000005); #110=CIRCLE('',#4993,0.158749999999998); #111=CIRCLE('',#4994,0.158749999999998); #112=CIRCLE('',#4996,0.158749999999998); #113=CIRCLE('',#4997,0.158749999999998); #114=CIRCLE('',#4999,0.158750000000005); #115=CIRCLE('',#5000,0.158750000000005); #116=CIRCLE('',#5002,0.158750000000005); #117=CIRCLE('',#5003,0.158750000000005); #118=CIRCLE('',#5005,0.158750000000001); #119=CIRCLE('',#5006,0.158750000000001); #120=CIRCLE('',#5008,0.158749999999998); #121=CIRCLE('',#5009,0.158749999999998); #122=CIRCLE('',#5011,0.158749999999998); #123=CIRCLE('',#5012,0.158749999999998); #124=CIRCLE('',#5014,0.158750000000002); #125=CIRCLE('',#5015,0.158750000000002); #126=CIRCLE('',#5017,0.15875); #127=CIRCLE('',#5018,0.15875); #128=CIRCLE('',#5020,0.158750000000001); #129=CIRCLE('',#5021,0.158750000000001); #130=CIRCLE('',#5023,0.15875); #131=CIRCLE('',#5024,0.15875); #132=CIRCLE('',#5026,0.158749999999998); #133=CIRCLE('',#5027,0.158749999999998); #134=CIRCLE('',#5031,0.3175); #135=CIRCLE('',#5032,0.3175); #136=CYLINDRICAL_SURFACE('',#4842,0.158749999999998); #137=CYLINDRICAL_SURFACE('',#4845,0.158749999999998); #138=CYLINDRICAL_SURFACE('',#4848,0.158749999999998); #139=CYLINDRICAL_SURFACE('',#4851,0.158749999999998); #140=CYLINDRICAL_SURFACE('',#4854,0.158749999999998); #141=CYLINDRICAL_SURFACE('',#4857,0.158749999999998); #142=CYLINDRICAL_SURFACE('',#4860,0.158749999999998); #143=CYLINDRICAL_SURFACE('',#4863,0.158749999999998); #144=CYLINDRICAL_SURFACE('',#4866,0.158749999999998); #145=CYLINDRICAL_SURFACE('',#4869,0.158749999999998); #146=CYLINDRICAL_SURFACE('',#4872,0.15875); #147=CYLINDRICAL_SURFACE('',#4875,0.15875); #148=CYLINDRICAL_SURFACE('',#4878,0.158749999999996); #149=CYLINDRICAL_SURFACE('',#4881,0.15875); #150=CYLINDRICAL_SURFACE('',#4884,0.158749999999998); #151=CYLINDRICAL_SURFACE('',#4887,0.15875); #152=CYLINDRICAL_SURFACE('',#4890,0.158749999999998); #153=CYLINDRICAL_SURFACE('',#4893,0.158749999999998); #154=CYLINDRICAL_SURFACE('',#4896,0.158750000000001); #155=CYLINDRICAL_SURFACE('',#4899,0.158749999999998); #156=CYLINDRICAL_SURFACE('',#4902,0.158749999999998); #157=CYLINDRICAL_SURFACE('',#4905,0.158749999999998); #158=CYLINDRICAL_SURFACE('',#4908,0.158749999999998); #159=CYLINDRICAL_SURFACE('',#4911,0.158749999999998); #160=CYLINDRICAL_SURFACE('',#4914,0.158749999999998); #161=CYLINDRICAL_SURFACE('',#4917,0.158749999999998); #162=CYLINDRICAL_SURFACE('',#4920,0.158749999999998); #163=CYLINDRICAL_SURFACE('',#4923,0.158749999999998); #164=CYLINDRICAL_SURFACE('',#4926,0.158749999999998); #165=CYLINDRICAL_SURFACE('',#4929,0.158749999999998); #166=CYLINDRICAL_SURFACE('',#4932,0.158749999999998); #167=CYLINDRICAL_SURFACE('',#4935,0.158749999999998); #168=CYLINDRICAL_SURFACE('',#4938,0.158749999999998); #169=CYLINDRICAL_SURFACE('',#4941,0.158749999999998); #170=CYLINDRICAL_SURFACE('',#4944,0.158749999999998); #171=CYLINDRICAL_SURFACE('',#4947,0.158749999999998); #172=CYLINDRICAL_SURFACE('',#4950,0.158749999999998); #173=CYLINDRICAL_SURFACE('',#4953,0.158749999999998); #174=CYLINDRICAL_SURFACE('',#4956,0.158749999999998); #175=CYLINDRICAL_SURFACE('',#4959,0.158749999999998); #176=CYLINDRICAL_SURFACE('',#4962,0.158749999999998); #177=CYLINDRICAL_SURFACE('',#4965,0.158749999999998); #178=CYLINDRICAL_SURFACE('',#4968,0.158749999999998); #179=CYLINDRICAL_SURFACE('',#4971,0.158749999999998); #180=CYLINDRICAL_SURFACE('',#4974,0.158750000000005); #181=CYLINDRICAL_SURFACE('',#4977,0.158749999999998); #182=CYLINDRICAL_SURFACE('',#4980,0.158749999999998); #183=CYLINDRICAL_SURFACE('',#4983,0.158749999999998); #184=CYLINDRICAL_SURFACE('',#4986,0.158750000000005); #185=CYLINDRICAL_SURFACE('',#4989,0.158750000000005); #186=CYLINDRICAL_SURFACE('',#4992,0.158749999999998); #187=CYLINDRICAL_SURFACE('',#4995,0.158749999999998); #188=CYLINDRICAL_SURFACE('',#4998,0.158750000000005); #189=CYLINDRICAL_SURFACE('',#5001,0.158750000000005); #190=CYLINDRICAL_SURFACE('',#5004,0.158750000000001); #191=CYLINDRICAL_SURFACE('',#5007,0.158749999999998); #192=CYLINDRICAL_SURFACE('',#5010,0.158749999999998); #193=CYLINDRICAL_SURFACE('',#5013,0.158750000000002); #194=CYLINDRICAL_SURFACE('',#5016,0.15875); #195=CYLINDRICAL_SURFACE('',#5019,0.158750000000001); #196=CYLINDRICAL_SURFACE('',#5022,0.15875); #197=CYLINDRICAL_SURFACE('',#5025,0.158749999999998); #198=CYLINDRICAL_SURFACE('',#5030,0.3175); #199=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#454,#833); #200=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#455,#834); #201=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#456,#835); #202=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#457,#836); #203=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#458,#837); #204=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#459,#842); #205=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#460,#843); #206=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#461,#844); #207=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#462,#845); #208=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#463,#846); #209=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#464,#847); #210=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#465,#848); #211=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#466,#849); #212=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#467,#850); #213=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#468,#851); #214=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#469,#852); #215=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#470,#853); #216=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#471,#854); #217=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#472,#855); #218=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#473,#856); #219=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#474,#857); #220=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#475,#858); #221=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#476,#859); #222=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#477,#860); #223=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#478,#861); #224=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#479,#862); #225=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#480,#863); #226=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#481,#864); #227=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#482,#865); #228=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#483,#866); #229=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#484,#867); #230=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#485,#868); #231=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#486,#869); #232=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#487,#870); #233=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#488,#871); #234=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#489,#872); #235=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#490,#873); #236=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#491,#874); #237=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#492,#875); #238=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#493,#876); #239=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#494,#877); #240=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#495,#878); #241=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#496,#879); #242=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#497,#880); #243=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#498,#881); #244=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#499,#882); #245=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#500,#883); #246=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#501,#884); #247=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#502,#885); #248=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#503,#886); #249=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#504,#887); #250=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#505,#888); #251=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#506,#889); #252=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#507,#890); #253=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#508,#891); #254=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#509,#892); #255=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#510,#893); #256=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#511,#894); #257=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#512,#895); #258=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#513,#896); #259=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#514,#897); #260=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#515,#898); #261=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#516,#899); #262=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#517,#900); #263=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#518,#901); #264=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#519,#902); #265=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#520,#903); #266=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#521,#904); #267=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#522,#905); #268=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#523,#906); #269=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#524,#907); #270=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#525,#908); #271=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#526,#909); #272=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#527,#910); #273=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#528,#911); #274=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#529,#912); #275=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#530,#913); #276=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#531,#914); #277=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#532,#915); #278=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#533,#916); #279=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#534,#917); #280=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#535,#918); #281=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#536,#919); #282=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#537,#920); #283=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#538,#921); #284=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID9','NAUO_NAME9',$,#3063,#3061, $); #285=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID19','NAUO_NAME19',$,#3063,#3062, $); #286=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID29','NAUO_NAME29',$,#3063,#3062, $); #287=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID39','NAUO_NAME39',$,#3063,#3062, $); #288=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID49','NAUO_NAME49',$,#3063,#3061, $); #289=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID59','NAUO_NAME59',$,#3067,#3063, $); #290=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID69','NAUO_NAME69',$,#3067,#3063, $); #291=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID79','NAUO_NAME79',$,#3067,#3064, $); #292=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID89','NAUO_NAME89',$,#3067,#3064, $); #293=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID99','NAUO_NAME99',$,#3067,#3064, $); #294=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID109','NAUO_NAME109',$,#3067, #3064,$); #295=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID119','NAUO_NAME119',$,#3067, #3065,$); #296=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID129','NAUO_NAME129',$,#3067, #3066,$); #297=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID139','NAUO_NAME139',$,#3067, #3066,$); #298=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID149','NAUO_NAME149',$,#3067, #3066,$); #299=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID159','NAUO_NAME159',$,#3067, #3066,$); #300=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID169','NAUO_NAME169',$,#3067, #3066,$); #301=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID179','NAUO_NAME179',$,#3067, #3066,$); #302=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID189','NAUO_NAME189',$,#3067, #3066,$); #303=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID199','NAUO_NAME199',$,#3067, #3066,$); #304=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID209','NAUO_NAME209',$,#3067, #3066,$); #305=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID219','NAUO_NAME219',$,#3067, #3066,$); #306=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID229','NAUO_NAME229',$,#3067, #3066,$); #307=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID239','NAUO_NAME239',$,#3067, #3066,$); #308=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID249','NAUO_NAME249',$,#3067, #3066,$); #309=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID259','NAUO_NAME259',$,#3067, #3066,$); #310=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID269','NAUO_NAME269',$,#3067, #3066,$); #311=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID279','NAUO_NAME279',$,#3067, #3066,$); #312=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID289','NAUO_NAME289',$,#3067, #3066,$); #313=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID299','NAUO_NAME299',$,#3067, #3066,$); #314=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID309','NAUO_NAME309',$,#3067, #3066,$); #315=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID319','NAUO_NAME319',$,#3067, #3066,$); #316=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID329','NAUO_NAME329',$,#3067, #3066,$); #317=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID339','NAUO_NAME339',$,#3067, #3066,$); #318=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID349','NAUO_NAME349',$,#3067, #3066,$); #319=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID359','NAUO_NAME359',$,#3067, #3066,$); #320=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID369','NAUO_NAME369',$,#3067, #3066,$); #321=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID379','NAUO_NAME379',$,#3067, #3066,$); #322=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID389','NAUO_NAME389',$,#3067, #3066,$); #323=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID399','NAUO_NAME399',$,#3067, #3066,$); #324=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID409','NAUO_NAME409',$,#3067, #3066,$); #325=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID419','NAUO_NAME419',$,#3067, #3066,$); #326=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID429','NAUO_NAME429',$,#3067, #3066,$); #327=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID439','NAUO_NAME439',$,#3067, #3066,$); #328=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID449','NAUO_NAME449',$,#3067, #3066,$); #329=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID459','NAUO_NAME459',$,#3067, #3066,$); #330=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID469','NAUO_NAME469',$,#3067, #3066,$); #331=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID479','NAUO_NAME479',$,#3067, #3066,$); #332=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID489','NAUO_NAME489',$,#3067, #3066,$); #333=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID499','NAUO_NAME499',$,#3067, #3066,$); #334=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID509','NAUO_NAME509',$,#3067, #3066,$); #335=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID519','NAUO_NAME519',$,#3067, #3066,$); #336=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID529','NAUO_NAME529',$,#3067, #3066,$); #337=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID539','NAUO_NAME539',$,#3067, #3066,$); #338=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID549','NAUO_NAME549',$,#3067, #3066,$); #339=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID559','NAUO_NAME559',$,#3067, #3066,$); #340=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID569','NAUO_NAME569',$,#3067, #3066,$); #341=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID579','NAUO_NAME579',$,#3067, #3066,$); #342=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID589','NAUO_NAME589',$,#3067, #3066,$); #343=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID599','NAUO_NAME599',$,#3067, #3066,$); #344=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID609','NAUO_NAME609',$,#3067, #3066,$); #345=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID619','NAUO_NAME619',$,#3067, #3066,$); #346=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID629','NAUO_NAME629',$,#3067, #3066,$); #347=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID639','NAUO_NAME639',$,#3067, #3066,$); #348=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID649','NAUO_NAME649',$,#3067, #3066,$); #349=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID659','NAUO_NAME659',$,#3067, #3066,$); #350=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID669','NAUO_NAME669',$,#3067, #3066,$); #351=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID679','NAUO_NAME679',$,#3067, #3066,$); #352=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID689','NAUO_NAME689',$,#3067, #3066,$); #353=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID699','NAUO_NAME699',$,#3067, #3066,$); #354=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID709','NAUO_NAME709',$,#3067, #3066,$); #355=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID719','NAUO_NAME719',$,#3067, #3066,$); #356=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID729','NAUO_NAME729',$,#3067, #3066,$); #357=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID739','NAUO_NAME739',$,#3067, #3066,$); #358=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID749','NAUO_NAME749',$,#3067, #3066,$); #359=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID759','NAUO_NAME759',$,#3067, #3066,$); #360=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID769','NAUO_NAME769',$,#3067, #3066,$); #361=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID779','NAUO_NAME779',$,#3067, #3066,$); #362=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID789','NAUO_NAME789',$,#3067, #3066,$); #363=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID799','NAUO_NAME799',$,#3067, #3066,$); #364=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID809','NAUO_NAME809',$,#3067, #3066,$); #365=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID819','NAUO_NAME819',$,#3067, #3066,$); #366=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID829','NAUO_NAME829',$,#3067, #3066,$); #367=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID839','NAUO_NAME839',$,#3067, #3066,$); #368=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO_ID849','NAUO_NAME849',$,#3067, #3066,$); #369=ITEM_DEFINED_TRANSFORMATION('ITD_NAME0',$,#4819,#4818); #370=ITEM_DEFINED_TRANSFORMATION('ITD_NAME10',$,#4821,#4820); #371=ITEM_DEFINED_TRANSFORMATION('ITD_NAME20',$,#4823,#4822); #372=ITEM_DEFINED_TRANSFORMATION('ITD_NAME30',$,#4825,#4824); #373=ITEM_DEFINED_TRANSFORMATION('ITD_NAME40',$,#4827,#4826); #374=ITEM_DEFINED_TRANSFORMATION('ITD_NAME50',$,#5036,#5035); #375=ITEM_DEFINED_TRANSFORMATION('ITD_NAME60',$,#5038,#5037); #376=ITEM_DEFINED_TRANSFORMATION('ITD_NAME70',$,#5040,#5039); #377=ITEM_DEFINED_TRANSFORMATION('ITD_NAME80',$,#5042,#5041); #378=ITEM_DEFINED_TRANSFORMATION('ITD_NAME90',$,#5044,#5043); #379=ITEM_DEFINED_TRANSFORMATION('ITD_NAME100',$,#5046,#5045); #380=ITEM_DEFINED_TRANSFORMATION('ITD_NAME110',$,#5048,#5047); #381=ITEM_DEFINED_TRANSFORMATION('ITD_NAME120',$,#5050,#5049); #382=ITEM_DEFINED_TRANSFORMATION('ITD_NAME130',$,#5052,#5051); #383=ITEM_DEFINED_TRANSFORMATION('ITD_NAME140',$,#5054,#5053); #384=ITEM_DEFINED_TRANSFORMATION('ITD_NAME150',$,#5056,#5055); #385=ITEM_DEFINED_TRANSFORMATION('ITD_NAME160',$,#5058,#5057); #386=ITEM_DEFINED_TRANSFORMATION('ITD_NAME170',$,#5060,#5059); #387=ITEM_DEFINED_TRANSFORMATION('ITD_NAME180',$,#5062,#5061); #388=ITEM_DEFINED_TRANSFORMATION('ITD_NAME190',$,#5064,#5063); #389=ITEM_DEFINED_TRANSFORMATION('ITD_NAME200',$,#5066,#5065); #390=ITEM_DEFINED_TRANSFORMATION('ITD_NAME210',$,#5068,#5067); #391=ITEM_DEFINED_TRANSFORMATION('ITD_NAME220',$,#5070,#5069); #392=ITEM_DEFINED_TRANSFORMATION('ITD_NAME230',$,#5072,#5071); #393=ITEM_DEFINED_TRANSFORMATION('ITD_NAME240',$,#5074,#5073); #394=ITEM_DEFINED_TRANSFORMATION('ITD_NAME250',$,#5076,#5075); #395=ITEM_DEFINED_TRANSFORMATION('ITD_NAME260',$,#5078,#5077); #396=ITEM_DEFINED_TRANSFORMATION('ITD_NAME270',$,#5080,#5079); #397=ITEM_DEFINED_TRANSFORMATION('ITD_NAME280',$,#5082,#5081); #398=ITEM_DEFINED_TRANSFORMATION('ITD_NAME290',$,#5084,#5083); #399=ITEM_DEFINED_TRANSFORMATION('ITD_NAME300',$,#5086,#5085); #400=ITEM_DEFINED_TRANSFORMATION('ITD_NAME310',$,#5088,#5087); #401=ITEM_DEFINED_TRANSFORMATION('ITD_NAME320',$,#5090,#5089); #402=ITEM_DEFINED_TRANSFORMATION('ITD_NAME330',$,#5092,#5091); #403=ITEM_DEFINED_TRANSFORMATION('ITD_NAME340',$,#5094,#5093); #404=ITEM_DEFINED_TRANSFORMATION('ITD_NAME350',$,#5096,#5095); #405=ITEM_DEFINED_TRANSFORMATION('ITD_NAME360',$,#5098,#5097); #406=ITEM_DEFINED_TRANSFORMATION('ITD_NAME370',$,#5100,#5099); #407=ITEM_DEFINED_TRANSFORMATION('ITD_NAME380',$,#5102,#5101); #408=ITEM_DEFINED_TRANSFORMATION('ITD_NAME390',$,#5104,#5103); #409=ITEM_DEFINED_TRANSFORMATION('ITD_NAME400',$,#5106,#5105); #410=ITEM_DEFINED_TRANSFORMATION('ITD_NAME410',$,#5108,#5107); #411=ITEM_DEFINED_TRANSFORMATION('ITD_NAME420',$,#5110,#5109); #412=ITEM_DEFINED_TRANSFORMATION('ITD_NAME430',$,#5112,#5111); #413=ITEM_DEFINED_TRANSFORMATION('ITD_NAME440',$,#5114,#5113); #414=ITEM_DEFINED_TRANSFORMATION('ITD_NAME450',$,#5116,#5115); #415=ITEM_DEFINED_TRANSFORMATION('ITD_NAME460',$,#5118,#5117); #416=ITEM_DEFINED_TRANSFORMATION('ITD_NAME470',$,#5120,#5119); #417=ITEM_DEFINED_TRANSFORMATION('ITD_NAME480',$,#5122,#5121); #418=ITEM_DEFINED_TRANSFORMATION('ITD_NAME490',$,#5124,#5123); #419=ITEM_DEFINED_TRANSFORMATION('ITD_NAME500',$,#5126,#5125); #420=ITEM_DEFINED_TRANSFORMATION('ITD_NAME510',$,#5128,#5127); #421=ITEM_DEFINED_TRANSFORMATION('ITD_NAME520',$,#5130,#5129); #422=ITEM_DEFINED_TRANSFORMATION('ITD_NAME530',$,#5132,#5131); #423=ITEM_DEFINED_TRANSFORMATION('ITD_NAME540',$,#5134,#5133); #424=ITEM_DEFINED_TRANSFORMATION('ITD_NAME550',$,#5136,#5135); #425=ITEM_DEFINED_TRANSFORMATION('ITD_NAME560',$,#5138,#5137); #426=ITEM_DEFINED_TRANSFORMATION('ITD_NAME570',$,#5140,#5139); #427=ITEM_DEFINED_TRANSFORMATION('ITD_NAME580',$,#5142,#5141); #428=ITEM_DEFINED_TRANSFORMATION('ITD_NAME590',$,#5144,#5143); #429=ITEM_DEFINED_TRANSFORMATION('ITD_NAME600',$,#5146,#5145); #430=ITEM_DEFINED_TRANSFORMATION('ITD_NAME610',$,#5148,#5147); #431=ITEM_DEFINED_TRANSFORMATION('ITD_NAME620',$,#5150,#5149); #432=ITEM_DEFINED_TRANSFORMATION('ITD_NAME630',$,#5152,#5151); #433=ITEM_DEFINED_TRANSFORMATION('ITD_NAME640',$,#5154,#5153); #434=ITEM_DEFINED_TRANSFORMATION('ITD_NAME650',$,#5156,#5155); #435=ITEM_DEFINED_TRANSFORMATION('ITD_NAME660',$,#5158,#5157); #436=ITEM_DEFINED_TRANSFORMATION('ITD_NAME670',$,#5160,#5159); #437=ITEM_DEFINED_TRANSFORMATION('ITD_NAME680',$,#5162,#5161); #438=ITEM_DEFINED_TRANSFORMATION('ITD_NAME690',$,#5164,#5163); #439=ITEM_DEFINED_TRANSFORMATION('ITD_NAME700',$,#5166,#5165); #440=ITEM_DEFINED_TRANSFORMATION('ITD_NAME710',$,#5168,#5167); #441=ITEM_DEFINED_TRANSFORMATION('ITD_NAME720',$,#5170,#5169); #442=ITEM_DEFINED_TRANSFORMATION('ITD_NAME730',$,#5172,#5171); #443=ITEM_DEFINED_TRANSFORMATION('ITD_NAME740',$,#5174,#5173); #444=ITEM_DEFINED_TRANSFORMATION('ITD_NAME750',$,#5176,#5175); #445=ITEM_DEFINED_TRANSFORMATION('ITD_NAME760',$,#5178,#5177); #446=ITEM_DEFINED_TRANSFORMATION('ITD_NAME770',$,#5180,#5179); #447=ITEM_DEFINED_TRANSFORMATION('ITD_NAME780',$,#5182,#5181); #448=ITEM_DEFINED_TRANSFORMATION('ITD_NAME790',$,#5184,#5183); #449=ITEM_DEFINED_TRANSFORMATION('ITD_NAME800',$,#5186,#5185); #450=ITEM_DEFINED_TRANSFORMATION('ITD_NAME810',$,#5188,#5187); #451=ITEM_DEFINED_TRANSFORMATION('ITD_NAME820',$,#5190,#5189); #452=ITEM_DEFINED_TRANSFORMATION('ITD_NAME830',$,#5192,#5191); #453=ITEM_DEFINED_TRANSFORMATION('ITD_NAME840',$,#5194,#5193); #454=( REPRESENTATION_RELATIONSHIP('4_inch_8th_thick_square_tube_120_inch',$,#3082, #3084) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#369) SHAPE_REPRESENTATION_RELATIONSHIP() ); #455=( REPRESENTATION_RELATIONSHIP('4_inch_8th_thick_square_tube_12_inch',$,#3083, #3084) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#370) SHAPE_REPRESENTATION_RELATIONSHIP() ); #456=( REPRESENTATION_RELATIONSHIP('4_inch_8th_thick_square_tube_12_inch',$,#3083, #3084) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#371) SHAPE_REPRESENTATION_RELATIONSHIP() ); #457=( REPRESENTATION_RELATIONSHIP('4_inch_8th_thick_square_tube_12_inch',$,#3083, #3084) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#372) SHAPE_REPRESENTATION_RELATIONSHIP() ); #458=( REPRESENTATION_RELATIONSHIP('4_inch_8th_thick_square_tube_120_inch',$,#3082, #3084) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#373) SHAPE_REPRESENTATION_RELATIONSHIP() ); #459=( REPRESENTATION_RELATIONSHIP('Frame_Assembly_one_side',$,#3084,#3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#374) SHAPE_REPRESENTATION_RELATIONSHIP() ); #460=( REPRESENTATION_RELATIONSHIP('Frame_Assembly_one_side',$,#3084,#3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#375) SHAPE_REPRESENTATION_RELATIONSHIP() ); #461=( REPRESENTATION_RELATIONSHIP('4_inch_8th_thick_square_tube_40_inch',$,#3085, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#376) SHAPE_REPRESENTATION_RELATIONSHIP() ); #462=( REPRESENTATION_RELATIONSHIP('4_inch_8th_thick_square_tube_40_inch',$,#3085, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#377) SHAPE_REPRESENTATION_RELATIONSHIP() ); #463=( REPRESENTATION_RELATIONSHIP('4_inch_8th_thick_square_tube_40_inch',$,#3085, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#378) SHAPE_REPRESENTATION_RELATIONSHIP() ); #464=( REPRESENTATION_RELATIONSHIP('4_inch_8th_thick_square_tube_40_inch',$,#3085, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#379) SHAPE_REPRESENTATION_RELATIONSHIP() ); #465=( REPRESENTATION_RELATIONSHIP('48x80_8th_inch_thick_floor_plate',$,#3086,#3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#380) SHAPE_REPRESENTATION_RELATIONSHIP() ); #466=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#381) SHAPE_REPRESENTATION_RELATIONSHIP() ); #467=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#382) SHAPE_REPRESENTATION_RELATIONSHIP() ); #468=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#383) SHAPE_REPRESENTATION_RELATIONSHIP() ); #469=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#384) SHAPE_REPRESENTATION_RELATIONSHIP() ); #470=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#385) SHAPE_REPRESENTATION_RELATIONSHIP() ); #471=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#386) SHAPE_REPRESENTATION_RELATIONSHIP() ); #472=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#387) SHAPE_REPRESENTATION_RELATIONSHIP() ); #473=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#388) SHAPE_REPRESENTATION_RELATIONSHIP() ); #474=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#389) SHAPE_REPRESENTATION_RELATIONSHIP() ); #475=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#390) SHAPE_REPRESENTATION_RELATIONSHIP() ); #476=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#391) SHAPE_REPRESENTATION_RELATIONSHIP() ); #477=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#392) SHAPE_REPRESENTATION_RELATIONSHIP() ); #478=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#393) SHAPE_REPRESENTATION_RELATIONSHIP() ); #479=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#394) SHAPE_REPRESENTATION_RELATIONSHIP() ); #480=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#395) SHAPE_REPRESENTATION_RELATIONSHIP() ); #481=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#396) SHAPE_REPRESENTATION_RELATIONSHIP() ); #482=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#397) SHAPE_REPRESENTATION_RELATIONSHIP() ); #483=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#398) SHAPE_REPRESENTATION_RELATIONSHIP() ); #484=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#399) SHAPE_REPRESENTATION_RELATIONSHIP() ); #485=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#400) SHAPE_REPRESENTATION_RELATIONSHIP() ); #486=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#401) SHAPE_REPRESENTATION_RELATIONSHIP() ); #487=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#402) SHAPE_REPRESENTATION_RELATIONSHIP() ); #488=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#403) SHAPE_REPRESENTATION_RELATIONSHIP() ); #489=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#404) SHAPE_REPRESENTATION_RELATIONSHIP() ); #490=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#405) SHAPE_REPRESENTATION_RELATIONSHIP() ); #491=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#406) SHAPE_REPRESENTATION_RELATIONSHIP() ); #492=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#407) SHAPE_REPRESENTATION_RELATIONSHIP() ); #493=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#408) SHAPE_REPRESENTATION_RELATIONSHIP() ); #494=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#409) SHAPE_REPRESENTATION_RELATIONSHIP() ); #495=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#410) SHAPE_REPRESENTATION_RELATIONSHIP() ); #496=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#411) SHAPE_REPRESENTATION_RELATIONSHIP() ); #497=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#412) SHAPE_REPRESENTATION_RELATIONSHIP() ); #498=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#413) SHAPE_REPRESENTATION_RELATIONSHIP() ); #499=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#414) SHAPE_REPRESENTATION_RELATIONSHIP() ); #500=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#415) SHAPE_REPRESENTATION_RELATIONSHIP() ); #501=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#416) SHAPE_REPRESENTATION_RELATIONSHIP() ); #502=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#417) SHAPE_REPRESENTATION_RELATIONSHIP() ); #503=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#418) SHAPE_REPRESENTATION_RELATIONSHIP() ); #504=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#419) SHAPE_REPRESENTATION_RELATIONSHIP() ); #505=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#420) SHAPE_REPRESENTATION_RELATIONSHIP() ); #506=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#421) SHAPE_REPRESENTATION_RELATIONSHIP() ); #507=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#422) SHAPE_REPRESENTATION_RELATIONSHIP() ); #508=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#423) SHAPE_REPRESENTATION_RELATIONSHIP() ); #509=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#424) SHAPE_REPRESENTATION_RELATIONSHIP() ); #510=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#425) SHAPE_REPRESENTATION_RELATIONSHIP() ); #511=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#426) SHAPE_REPRESENTATION_RELATIONSHIP() ); #512=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#427) SHAPE_REPRESENTATION_RELATIONSHIP() ); #513=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#428) SHAPE_REPRESENTATION_RELATIONSHIP() ); #514=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#429) SHAPE_REPRESENTATION_RELATIONSHIP() ); #515=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#430) SHAPE_REPRESENTATION_RELATIONSHIP() ); #516=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#431) SHAPE_REPRESENTATION_RELATIONSHIP() ); #517=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#432) SHAPE_REPRESENTATION_RELATIONSHIP() ); #518=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#433) SHAPE_REPRESENTATION_RELATIONSHIP() ); #519=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#434) SHAPE_REPRESENTATION_RELATIONSHIP() ); #520=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#435) SHAPE_REPRESENTATION_RELATIONSHIP() ); #521=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#436) SHAPE_REPRESENTATION_RELATIONSHIP() ); #522=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#437) SHAPE_REPRESENTATION_RELATIONSHIP() ); #523=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#438) SHAPE_REPRESENTATION_RELATIONSHIP() ); #524=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#439) SHAPE_REPRESENTATION_RELATIONSHIP() ); #525=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#440) SHAPE_REPRESENTATION_RELATIONSHIP() ); #526=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#441) SHAPE_REPRESENTATION_RELATIONSHIP() ); #527=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#442) SHAPE_REPRESENTATION_RELATIONSHIP() ); #528=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#443) SHAPE_REPRESENTATION_RELATIONSHIP() ); #529=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#444) SHAPE_REPRESENTATION_RELATIONSHIP() ); #530=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#445) SHAPE_REPRESENTATION_RELATIONSHIP() ); #531=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#446) SHAPE_REPRESENTATION_RELATIONSHIP() ); #532=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#447) SHAPE_REPRESENTATION_RELATIONSHIP() ); #533=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#448) SHAPE_REPRESENTATION_RELATIONSHIP() ); #534=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#449) SHAPE_REPRESENTATION_RELATIONSHIP() ); #535=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#450) SHAPE_REPRESENTATION_RELATIONSHIP() ); #536=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#451) SHAPE_REPRESENTATION_RELATIONSHIP() ); #537=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#452) SHAPE_REPRESENTATION_RELATIONSHIP() ); #538=( REPRESENTATION_RELATIONSHIP('_25inch_diameter_weld_4inches_long',$,#3087, #3088) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#453) SHAPE_REPRESENTATION_RELATIONSHIP() ); #539=MECHANICAL_CONTEXT('detailed design',#923,'mechanical'); #540=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#3075)); #541=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#3076)); #542=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#3077)); #543=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#3078)); #544=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#3079)); #545=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#3080)); #546=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#3081)); #547=CC_DESIGN_SECURITY_CLASSIFICATION(#731,(#3068)); #548=CC_DESIGN_SECURITY_CLASSIFICATION(#732,(#3069)); #549=CC_DESIGN_SECURITY_CLASSIFICATION(#733,(#3070)); #550=CC_DESIGN_SECURITY_CLASSIFICATION(#734,(#284)); #551=CC_DESIGN_SECURITY_CLASSIFICATION(#735,(#285)); #552=CC_DESIGN_SECURITY_CLASSIFICATION(#736,(#286)); #553=CC_DESIGN_SECURITY_CLASSIFICATION(#737,(#287)); #554=CC_DESIGN_SECURITY_CLASSIFICATION(#738,(#288)); #555=CC_DESIGN_SECURITY_CLASSIFICATION(#739,(#3071)); #556=CC_DESIGN_SECURITY_CLASSIFICATION(#740,(#3072)); #557=CC_DESIGN_SECURITY_CLASSIFICATION(#741,(#3073)); #558=CC_DESIGN_SECURITY_CLASSIFICATION(#742,(#3074)); #559=CC_DESIGN_SECURITY_CLASSIFICATION(#743,(#289)); #560=CC_DESIGN_SECURITY_CLASSIFICATION(#744,(#290)); #561=CC_DESIGN_SECURITY_CLASSIFICATION(#745,(#291)); #562=CC_DESIGN_SECURITY_CLASSIFICATION(#746,(#292)); #563=CC_DESIGN_SECURITY_CLASSIFICATION(#747,(#293)); #564=CC_DESIGN_SECURITY_CLASSIFICATION(#748,(#294)); #565=CC_DESIGN_SECURITY_CLASSIFICATION(#749,(#295)); #566=CC_DESIGN_SECURITY_CLASSIFICATION(#750,(#296)); #567=CC_DESIGN_SECURITY_CLASSIFICATION(#751,(#297)); #568=CC_DESIGN_SECURITY_CLASSIFICATION(#752,(#298)); #569=CC_DESIGN_SECURITY_CLASSIFICATION(#753,(#299)); #570=CC_DESIGN_SECURITY_CLASSIFICATION(#754,(#300)); #571=CC_DESIGN_SECURITY_CLASSIFICATION(#755,(#301)); #572=CC_DESIGN_SECURITY_CLASSIFICATION(#756,(#302)); #573=CC_DESIGN_SECURITY_CLASSIFICATION(#757,(#303)); #574=CC_DESIGN_SECURITY_CLASSIFICATION(#758,(#304)); #575=CC_DESIGN_SECURITY_CLASSIFICATION(#759,(#305)); #576=CC_DESIGN_SECURITY_CLASSIFICATION(#760,(#306)); #577=CC_DESIGN_SECURITY_CLASSIFICATION(#761,(#307)); #578=CC_DESIGN_SECURITY_CLASSIFICATION(#762,(#308)); #579=CC_DESIGN_SECURITY_CLASSIFICATION(#763,(#309)); #580=CC_DESIGN_SECURITY_CLASSIFICATION(#764,(#310)); #581=CC_DESIGN_SECURITY_CLASSIFICATION(#765,(#311)); #582=CC_DESIGN_SECURITY_CLASSIFICATION(#766,(#312)); #583=CC_DESIGN_SECURITY_CLASSIFICATION(#767,(#313)); #584=CC_DESIGN_SECURITY_CLASSIFICATION(#768,(#314)); #585=CC_DESIGN_SECURITY_CLASSIFICATION(#769,(#315)); #586=CC_DESIGN_SECURITY_CLASSIFICATION(#770,(#316)); #587=CC_DESIGN_SECURITY_CLASSIFICATION(#771,(#317)); #588=CC_DESIGN_SECURITY_CLASSIFICATION(#772,(#318)); #589=CC_DESIGN_SECURITY_CLASSIFICATION(#773,(#319)); #590=CC_DESIGN_SECURITY_CLASSIFICATION(#774,(#320)); #591=CC_DESIGN_SECURITY_CLASSIFICATION(#775,(#321)); #592=CC_DESIGN_SECURITY_CLASSIFICATION(#776,(#322)); #593=CC_DESIGN_SECURITY_CLASSIFICATION(#777,(#323)); #594=CC_DESIGN_SECURITY_CLASSIFICATION(#778,(#324)); #595=CC_DESIGN_SECURITY_CLASSIFICATION(#779,(#325)); #596=CC_DESIGN_SECURITY_CLASSIFICATION(#780,(#326)); #597=CC_DESIGN_SECURITY_CLASSIFICATION(#781,(#327)); #598=CC_DESIGN_SECURITY_CLASSIFICATION(#782,(#328)); #599=CC_DESIGN_SECURITY_CLASSIFICATION(#783,(#329)); #600=CC_DESIGN_SECURITY_CLASSIFICATION(#784,(#330)); #601=CC_DESIGN_SECURITY_CLASSIFICATION(#785,(#331)); #602=CC_DESIGN_SECURITY_CLASSIFICATION(#786,(#332)); #603=CC_DESIGN_SECURITY_CLASSIFICATION(#787,(#333)); #604=CC_DESIGN_SECURITY_CLASSIFICATION(#788,(#334)); #605=CC_DESIGN_SECURITY_CLASSIFICATION(#789,(#335)); #606=CC_DESIGN_SECURITY_CLASSIFICATION(#790,(#336)); #607=CC_DESIGN_SECURITY_CLASSIFICATION(#791,(#337)); #608=CC_DESIGN_SECURITY_CLASSIFICATION(#792,(#338)); #609=CC_DESIGN_SECURITY_CLASSIFICATION(#793,(#339)); #610=CC_DESIGN_SECURITY_CLASSIFICATION(#794,(#340)); #611=CC_DESIGN_SECURITY_CLASSIFICATION(#795,(#341)); #612=CC_DESIGN_SECURITY_CLASSIFICATION(#796,(#342)); #613=CC_DESIGN_SECURITY_CLASSIFICATION(#797,(#343)); #614=CC_DESIGN_SECURITY_CLASSIFICATION(#798,(#344)); #615=CC_DESIGN_SECURITY_CLASSIFICATION(#799,(#345)); #616=CC_DESIGN_SECURITY_CLASSIFICATION(#800,(#346)); #617=CC_DESIGN_SECURITY_CLASSIFICATION(#801,(#347)); #618=CC_DESIGN_SECURITY_CLASSIFICATION(#802,(#348)); #619=CC_DESIGN_SECURITY_CLASSIFICATION(#803,(#349)); #620=CC_DESIGN_SECURITY_CLASSIFICATION(#804,(#350)); #621=CC_DESIGN_SECURITY_CLASSIFICATION(#805,(#351)); #622=CC_DESIGN_SECURITY_CLASSIFICATION(#806,(#352)); #623=CC_DESIGN_SECURITY_CLASSIFICATION(#807,(#353)); #624=CC_DESIGN_SECURITY_CLASSIFICATION(#808,(#354)); #625=CC_DESIGN_SECURITY_CLASSIFICATION(#809,(#355)); #626=CC_DESIGN_SECURITY_CLASSIFICATION(#810,(#356)); #627=CC_DESIGN_SECURITY_CLASSIFICATION(#811,(#357)); #628=CC_DESIGN_SECURITY_CLASSIFICATION(#812,(#358)); #629=CC_DESIGN_SECURITY_CLASSIFICATION(#813,(#359)); #630=CC_DESIGN_SECURITY_CLASSIFICATION(#814,(#360)); #631=CC_DESIGN_SECURITY_CLASSIFICATION(#815,(#361)); #632=CC_DESIGN_SECURITY_CLASSIFICATION(#816,(#362)); #633=CC_DESIGN_SECURITY_CLASSIFICATION(#817,(#363)); #634=CC_DESIGN_SECURITY_CLASSIFICATION(#818,(#364)); #635=CC_DESIGN_SECURITY_CLASSIFICATION(#819,(#365)); #636=CC_DESIGN_SECURITY_CLASSIFICATION(#820,(#366)); #637=CC_DESIGN_SECURITY_CLASSIFICATION(#821,(#367)); #638=CC_DESIGN_SECURITY_CLASSIFICATION(#822,(#368)); #639=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #640=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #641=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #642=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #643=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #644=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #645=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #646=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #647=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #648=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #649=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #650=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #651=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #652=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #653=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #654=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #655=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #656=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #657=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #658=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #659=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #660=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #661=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #662=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #663=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #664=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #665=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #666=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #667=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #668=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #669=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #670=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #671=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #672=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #673=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #674=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #675=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #676=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #677=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #678=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #679=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #680=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #681=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #682=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #683=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #684=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #685=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #686=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #687=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #688=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #689=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #690=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #691=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #692=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #693=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #694=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #695=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #696=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #697=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #698=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #699=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #700=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #701=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #702=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #703=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #704=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #705=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #706=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #707=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #708=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #709=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #710=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #711=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #712=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #713=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #714=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #715=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #716=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #717=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #718=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #719=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #720=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #721=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #722=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #723=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #724=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #725=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #726=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #727=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #728=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #729=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #730=SECURITY_CLASSIFICATION_LEVEL('unclassified'); #731=SECURITY_CLASSIFICATION('name','Security for version',#639); #732=SECURITY_CLASSIFICATION('name','Security for version',#640); #733=SECURITY_CLASSIFICATION('name','Security for version',#641); #734=SECURITY_CLASSIFICATION('name','Security for version',#642); #735=SECURITY_CLASSIFICATION('name','Security for version',#643); #736=SECURITY_CLASSIFICATION('name','Security for version',#644); #737=SECURITY_CLASSIFICATION('name','Security for version',#645); #738=SECURITY_CLASSIFICATION('name','Security for version',#646); #739=SECURITY_CLASSIFICATION('name','Security for version',#647); #740=SECURITY_CLASSIFICATION('name','Security for version',#648); #741=SECURITY_CLASSIFICATION('name','Security for version',#649); #742=SECURITY_CLASSIFICATION('name','Security for version',#650); #743=SECURITY_CLASSIFICATION('name','Security for version',#651); #744=SECURITY_CLASSIFICATION('name','Security for version',#652); #745=SECURITY_CLASSIFICATION('name','Security for version',#653); #746=SECURITY_CLASSIFICATION('name','Security for version',#654); #747=SECURITY_CLASSIFICATION('name','Security for version',#655); #748=SECURITY_CLASSIFICATION('name','Security for version',#656); #749=SECURITY_CLASSIFICATION('name','Security for version',#657); #750=SECURITY_CLASSIFICATION('name','Security for version',#658); #751=SECURITY_CLASSIFICATION('name','Security for version',#659); #752=SECURITY_CLASSIFICATION('name','Security for version',#660); #753=SECURITY_CLASSIFICATION('name','Security for version',#661); #754=SECURITY_CLASSIFICATION('name','Security for version',#662); #755=SECURITY_CLASSIFICATION('name','Security for version',#663); #756=SECURITY_CLASSIFICATION('name','Security for version',#664); #757=SECURITY_CLASSIFICATION('name','Security for version',#665); #758=SECURITY_CLASSIFICATION('name','Security for version',#666); #759=SECURITY_CLASSIFICATION('name','Security for version',#667); #760=SECURITY_CLASSIFICATION('name','Security for version',#668); #761=SECURITY_CLASSIFICATION('name','Security for version',#669); #762=SECURITY_CLASSIFICATION('name','Security for version',#670); #763=SECURITY_CLASSIFICATION('name','Security for version',#671); #764=SECURITY_CLASSIFICATION('name','Security for version',#672); #765=SECURITY_CLASSIFICATION('name','Security for version',#673); #766=SECURITY_CLASSIFICATION('name','Security for version',#674); #767=SECURITY_CLASSIFICATION('name','Security for version',#675); #768=SECURITY_CLASSIFICATION('name','Security for version',#676); #769=SECURITY_CLASSIFICATION('name','Security for version',#677); #770=SECURITY_CLASSIFICATION('name','Security for version',#678); #771=SECURITY_CLASSIFICATION('name','Security for version',#679); #772=SECURITY_CLASSIFICATION('name','Security for version',#680); #773=SECURITY_CLASSIFICATION('name','Security for version',#681); #774=SECURITY_CLASSIFICATION('name','Security for version',#682); #775=SECURITY_CLASSIFICATION('name','Security for version',#683); #776=SECURITY_CLASSIFICATION('name','Security for version',#684); #777=SECURITY_CLASSIFICATION('name','Security for version',#685); #778=SECURITY_CLASSIFICATION('name','Security for version',#686); #779=SECURITY_CLASSIFICATION('name','Security for version',#687); #780=SECURITY_CLASSIFICATION('name','Security for version',#688); #781=SECURITY_CLASSIFICATION('name','Security for version',#689); #782=SECURITY_CLASSIFICATION('name','Security for version',#690); #783=SECURITY_CLASSIFICATION('name','Security for version',#691); #784=SECURITY_CLASSIFICATION('name','Security for version',#692); #785=SECURITY_CLASSIFICATION('name','Security for version',#693); #786=SECURITY_CLASSIFICATION('name','Security for version',#694); #787=SECURITY_CLASSIFICATION('name','Security for version',#695); #788=SECURITY_CLASSIFICATION('name','Security for version',#696); #789=SECURITY_CLASSIFICATION('name','Security for version',#697); #790=SECURITY_CLASSIFICATION('name','Security for version',#698); #791=SECURITY_CLASSIFICATION('name','Security for version',#699); #792=SECURITY_CLASSIFICATION('name','Security for version',#700); #793=SECURITY_CLASSIFICATION('name','Security for version',#701); #794=SECURITY_CLASSIFICATION('name','Security for version',#702); #795=SECURITY_CLASSIFICATION('name','Security for version',#703); #796=SECURITY_CLASSIFICATION('name','Security for version',#704); #797=SECURITY_CLASSIFICATION('name','Security for version',#705); #798=SECURITY_CLASSIFICATION('name','Security for version',#706); #799=SECURITY_CLASSIFICATION('name','Security for version',#707); #800=SECURITY_CLASSIFICATION('name','Security for version',#708); #801=SECURITY_CLASSIFICATION('name','Security for version',#709); #802=SECURITY_CLASSIFICATION('name','Security for version',#710); #803=SECURITY_CLASSIFICATION('name','Security for version',#711); #804=SECURITY_CLASSIFICATION('name','Security for version',#712); #805=SECURITY_CLASSIFICATION('name','Security for version',#713); #806=SECURITY_CLASSIFICATION('name','Security for version',#714); #807=SECURITY_CLASSIFICATION('name','Security for version',#715); #808=SECURITY_CLASSIFICATION('name','Security for version',#716); #809=SECURITY_CLASSIFICATION('name','Security for version',#717); #810=SECURITY_CLASSIFICATION('name','Security for version',#718); #811=SECURITY_CLASSIFICATION('name','Security for version',#719); #812=SECURITY_CLASSIFICATION('name','Security for version',#720); #813=SECURITY_CLASSIFICATION('name','Security for version',#721); #814=SECURITY_CLASSIFICATION('name','Security for version',#722); #815=SECURITY_CLASSIFICATION('name','Security for version',#723); #816=SECURITY_CLASSIFICATION('name','Security for version',#724); #817=SECURITY_CLASSIFICATION('name','Security for version',#725); #818=SECURITY_CLASSIFICATION('name','Security for version',#726); #819=SECURITY_CLASSIFICATION('name','Security for version',#727); #820=SECURITY_CLASSIFICATION('name','Security for version',#728); #821=SECURITY_CLASSIFICATION('name','Security for version',#729); #822=SECURITY_CLASSIFICATION('name','Security for version',#730); #823=SHAPE_DEFINITION_REPRESENTATION(#830,#3082); #824=SHAPE_DEFINITION_REPRESENTATION(#831,#3083); #825=SHAPE_DEFINITION_REPRESENTATION(#832,#3084); #826=SHAPE_DEFINITION_REPRESENTATION(#838,#3085); #827=SHAPE_DEFINITION_REPRESENTATION(#839,#3086); #828=SHAPE_DEFINITION_REPRESENTATION(#840,#3087); #829=SHAPE_DEFINITION_REPRESENTATION(#841,#3088); #830=PRODUCT_DEFINITION_SHAPE('','DefinitionDesc',#3061); #831=PRODUCT_DEFINITION_SHAPE('','DefinitionDesc',#3062); #832=PRODUCT_DEFINITION_SHAPE('','DefinitionDesc',#3063); #833=PRODUCT_DEFINITION_SHAPE('NAME_10',$,#284); #834=PRODUCT_DEFINITION_SHAPE('NAME_20',$,#285); #835=PRODUCT_DEFINITION_SHAPE('NAME_30',$,#286); #836=PRODUCT_DEFINITION_SHAPE('NAME_40',$,#287); #837=PRODUCT_DEFINITION_SHAPE('NAME_50',$,#288); #838=PRODUCT_DEFINITION_SHAPE('','DefinitionDesc',#3064); #839=PRODUCT_DEFINITION_SHAPE('','DefinitionDesc',#3065); #840=PRODUCT_DEFINITION_SHAPE('','DefinitionDesc',#3066); #841=PRODUCT_DEFINITION_SHAPE('','DefinitionDesc',#3067); #842=PRODUCT_DEFINITION_SHAPE('NAME_60',$,#289); #843=PRODUCT_DEFINITION_SHAPE('NAME_70',$,#290); #844=PRODUCT_DEFINITION_SHAPE('NAME_80',$,#291); #845=PRODUCT_DEFINITION_SHAPE('NAME_90',$,#292); #846=PRODUCT_DEFINITION_SHAPE('NAME_100',$,#293); #847=PRODUCT_DEFINITION_SHAPE('NAME_110',$,#294); #848=PRODUCT_DEFINITION_SHAPE('NAME_120',$,#295); #849=PRODUCT_DEFINITION_SHAPE('NAME_130',$,#296); #850=PRODUCT_DEFINITION_SHAPE('NAME_140',$,#297); #851=PRODUCT_DEFINITION_SHAPE('NAME_150',$,#298); #852=PRODUCT_DEFINITION_SHAPE('NAME_160',$,#299); #853=PRODUCT_DEFINITION_SHAPE('NAME_170',$,#300); #854=PRODUCT_DEFINITION_SHAPE('NAME_180',$,#301); #855=PRODUCT_DEFINITION_SHAPE('NAME_190',$,#302); #856=PRODUCT_DEFINITION_SHAPE('NAME_200',$,#303); #857=PRODUCT_DEFINITION_SHAPE('NAME_210',$,#304); #858=PRODUCT_DEFINITION_SHAPE('NAME_220',$,#305); #859=PRODUCT_DEFINITION_SHAPE('NAME_230',$,#306); #860=PRODUCT_DEFINITION_SHAPE('NAME_240',$,#307); #861=PRODUCT_DEFINITION_SHAPE('NAME_250',$,#308); #862=PRODUCT_DEFINITION_SHAPE('NAME_260',$,#309); #863=PRODUCT_DEFINITION_SHAPE('NAME_270',$,#310); #864=PRODUCT_DEFINITION_SHAPE('NAME_280',$,#311); #865=PRODUCT_DEFINITION_SHAPE('NAME_290',$,#312); #866=PRODUCT_DEFINITION_SHAPE('NAME_300',$,#313); #867=PRODUCT_DEFINITION_SHAPE('NAME_310',$,#314); #868=PRODUCT_DEFINITION_SHAPE('NAME_320',$,#315); #869=PRODUCT_DEFINITION_SHAPE('NAME_330',$,#316); #870=PRODUCT_DEFINITION_SHAPE('NAME_340',$,#317); #871=PRODUCT_DEFINITION_SHAPE('NAME_350',$,#318); #872=PRODUCT_DEFINITION_SHAPE('NAME_360',$,#319); #873=PRODUCT_DEFINITION_SHAPE('NAME_370',$,#320); #874=PRODUCT_DEFINITION_SHAPE('NAME_380',$,#321); #875=PRODUCT_DEFINITION_SHAPE('NAME_390',$,#322); #876=PRODUCT_DEFINITION_SHAPE('NAME_400',$,#323); #877=PRODUCT_DEFINITION_SHAPE('NAME_410',$,#324); #878=PRODUCT_DEFINITION_SHAPE('NAME_420',$,#325); #879=PRODUCT_DEFINITION_SHAPE('NAME_430',$,#326); #880=PRODUCT_DEFINITION_SHAPE('NAME_440',$,#327); #881=PRODUCT_DEFINITION_SHAPE('NAME_450',$,#328); #882=PRODUCT_DEFINITION_SHAPE('NAME_460',$,#329); #883=PRODUCT_DEFINITION_SHAPE('NAME_470',$,#330); #884=PRODUCT_DEFINITION_SHAPE('NAME_480',$,#331); #885=PRODUCT_DEFINITION_SHAPE('NAME_490',$,#332); #886=PRODUCT_DEFINITION_SHAPE('NAME_500',$,#333); #887=PRODUCT_DEFINITION_SHAPE('NAME_510',$,#334); #888=PRODUCT_DEFINITION_SHAPE('NAME_520',$,#335); #889=PRODUCT_DEFINITION_SHAPE('NAME_530',$,#336); #890=PRODUCT_DEFINITION_SHAPE('NAME_540',$,#337); #891=PRODUCT_DEFINITION_SHAPE('NAME_550',$,#338); #892=PRODUCT_DEFINITION_SHAPE('NAME_560',$,#339); #893=PRODUCT_DEFINITION_SHAPE('NAME_570',$,#340); #894=PRODUCT_DEFINITION_SHAPE('NAME_580',$,#341); #895=PRODUCT_DEFINITION_SHAPE('NAME_590',$,#342); #896=PRODUCT_DEFINITION_SHAPE('NAME_600',$,#343); #897=PRODUCT_DEFINITION_SHAPE('NAME_610',$,#344); #898=PRODUCT_DEFINITION_SHAPE('NAME_620',$,#345); #899=PRODUCT_DEFINITION_SHAPE('NAME_630',$,#346); #900=PRODUCT_DEFINITION_SHAPE('NAME_640',$,#347); #901=PRODUCT_DEFINITION_SHAPE('NAME_650',$,#348); #902=PRODUCT_DEFINITION_SHAPE('NAME_660',$,#349); #903=PRODUCT_DEFINITION_SHAPE('NAME_670',$,#350); #904=PRODUCT_DEFINITION_SHAPE('NAME_680',$,#351); #905=PRODUCT_DEFINITION_SHAPE('NAME_690',$,#352); #906=PRODUCT_DEFINITION_SHAPE('NAME_700',$,#353); #907=PRODUCT_DEFINITION_SHAPE('NAME_710',$,#354); #908=PRODUCT_DEFINITION_SHAPE('NAME_720',$,#355); #909=PRODUCT_DEFINITION_SHAPE('NAME_730',$,#356); #910=PRODUCT_DEFINITION_SHAPE('NAME_740',$,#357); #911=PRODUCT_DEFINITION_SHAPE('NAME_750',$,#358); #912=PRODUCT_DEFINITION_SHAPE('NAME_760',$,#359); #913=PRODUCT_DEFINITION_SHAPE('NAME_770',$,#360); #914=PRODUCT_DEFINITION_SHAPE('NAME_780',$,#361); #915=PRODUCT_DEFINITION_SHAPE('NAME_790',$,#362); #916=PRODUCT_DEFINITION_SHAPE('NAME_800',$,#363); #917=PRODUCT_DEFINITION_SHAPE('NAME_810',$,#364); #918=PRODUCT_DEFINITION_SHAPE('NAME_820',$,#365); #919=PRODUCT_DEFINITION_SHAPE('NAME_830',$,#366); #920=PRODUCT_DEFINITION_SHAPE('NAME_840',$,#367); #921=PRODUCT_DEFINITION_SHAPE('NAME_850',$,#368); #922=APPLICATION_PROTOCOL_DEFINITION('AP definition status', 'config_control_design',1994,#923); #923=APPLICATION_CONTEXT( 'control the configuration of three dimensional design'); #924=DESIGN_CONTEXT('detailed design',#923,'design'); #925=PERSON_AND_ORGANIZATION_ROLE('creator'); #926=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #927=PERSON_AND_ORGANIZATION_ROLE('creator'); #928=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); #929=PERSON_AND_ORGANIZATION_ROLE('design_owner'); #930=PERSON_AND_ORGANIZATION_ROLE('creator'); #931=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #932=PERSON_AND_ORGANIZATION_ROLE('creator'); #933=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); #934=PERSON_AND_ORGANIZATION_ROLE('design_owner'); #935=PERSON_AND_ORGANIZATION_ROLE('creator'); #936=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #937=PERSON_AND_ORGANIZATION_ROLE('creator'); #938=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); #939=PERSON_AND_ORGANIZATION_ROLE('design_owner'); #940=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #941=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #942=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #943=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #944=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #945=PERSON_AND_ORGANIZATION_ROLE('creator'); #946=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #947=PERSON_AND_ORGANIZATION_ROLE('creator'); #948=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); #949=PERSON_AND_ORGANIZATION_ROLE('design_owner'); #950=PERSON_AND_ORGANIZATION_ROLE('creator'); #951=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #952=PERSON_AND_ORGANIZATION_ROLE('creator'); #953=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); #954=PERSON_AND_ORGANIZATION_ROLE('design_owner'); #955=PERSON_AND_ORGANIZATION_ROLE('creator'); #956=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #957=PERSON_AND_ORGANIZATION_ROLE('creator'); #958=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); #959=PERSON_AND_ORGANIZATION_ROLE('design_owner'); #960=PERSON_AND_ORGANIZATION_ROLE('creator'); #961=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #962=PERSON_AND_ORGANIZATION_ROLE('creator'); #963=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); #964=PERSON_AND_ORGANIZATION_ROLE('design_owner'); #965=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #966=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #967=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #968=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #969=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #970=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #971=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #972=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #973=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #974=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #975=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #976=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #977=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #978=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #979=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #980=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #981=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #982=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #983=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #984=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #985=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #986=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #987=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #988=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #989=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #990=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #991=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #992=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #993=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #994=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #995=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #996=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #997=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #998=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #999=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1000=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1001=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1002=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1003=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1004=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1005=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1006=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1007=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1008=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1009=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1010=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1011=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1012=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1013=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1014=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1015=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1016=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1017=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1018=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1019=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1020=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1021=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1022=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1023=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1024=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1025=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1026=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1027=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1028=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1029=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1030=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1031=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1032=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1033=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1034=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1035=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1036=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1037=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1038=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1039=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1040=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1041=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1042=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1043=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1044=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); #1045=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1592,#925,(#3061)); #1046=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1595,#926,(#731)); #1047=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1596,#927,(#3068)); #1048=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1597,#928,(#3068)); #1049=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1598,#929,(#3075)); #1050=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1600,#930,(#3062)); #1051=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1603,#931,(#732)); #1052=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1604,#932,(#3069)); #1053=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1605,#933,(#3069)); #1054=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1606,#934,(#3076)); #1055=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1608,#935,(#3063)); #1056=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1611,#936,(#733)); #1057=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1612,#937,(#3070)); #1058=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1613,#938,(#3070)); #1059=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1614,#939,(#3077)); #1060=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1616,#940,(#734)); #1061=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1618,#941,(#735)); #1062=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1620,#942,(#736)); #1063=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1622,#943,(#737)); #1064=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1624,#944,(#738)); #1065=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1626,#945,(#3064)); #1066=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1629,#946,(#739)); #1067=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1630,#947,(#3071)); #1068=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1631,#948,(#3071)); #1069=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1632,#949,(#3078)); #1070=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1634,#950,(#3065)); #1071=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1637,#951,(#740)); #1072=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1638,#952,(#3072)); #1073=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1639,#953,(#3072)); #1074=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1640,#954,(#3079)); #1075=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1642,#955,(#3066)); #1076=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1645,#956,(#741)); #1077=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1646,#957,(#3073)); #1078=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1647,#958,(#3073)); #1079=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1648,#959,(#3080)); #1080=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1650,#960,(#3067)); #1081=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1653,#961,(#742)); #1082=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1654,#962,(#3074)); #1083=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1655,#963,(#3074)); #1084=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1656,#964,(#3081)); #1085=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1658,#965,(#743)); #1086=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1660,#966,(#744)); #1087=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1662,#967,(#745)); #1088=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1664,#968,(#746)); #1089=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1666,#969,(#747)); #1090=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1668,#970,(#748)); #1091=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1670,#971,(#749)); #1092=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1672,#972,(#750)); #1093=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1674,#973,(#751)); #1094=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1676,#974,(#752)); #1095=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1678,#975,(#753)); #1096=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1680,#976,(#754)); #1097=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1682,#977,(#755)); #1098=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1684,#978,(#756)); #1099=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1686,#979,(#757)); #1100=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1688,#980,(#758)); #1101=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1690,#981,(#759)); #1102=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1692,#982,(#760)); #1103=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1694,#983,(#761)); #1104=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1696,#984,(#762)); #1105=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1698,#985,(#763)); #1106=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1700,#986,(#764)); #1107=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1702,#987,(#765)); #1108=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1704,#988,(#766)); #1109=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1706,#989,(#767)); #1110=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1708,#990,(#768)); #1111=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1710,#991,(#769)); #1112=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1712,#992,(#770)); #1113=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1714,#993,(#771)); #1114=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1716,#994,(#772)); #1115=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1718,#995,(#773)); #1116=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1720,#996,(#774)); #1117=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1722,#997,(#775)); #1118=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1724,#998,(#776)); #1119=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1726,#999,(#777)); #1120=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1728,#1000,(#778)); #1121=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1730,#1001,(#779)); #1122=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1732,#1002,(#780)); #1123=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1734,#1003,(#781)); #1124=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1736,#1004,(#782)); #1125=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1738,#1005,(#783)); #1126=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1740,#1006,(#784)); #1127=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1742,#1007,(#785)); #1128=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1744,#1008,(#786)); #1129=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1746,#1009,(#787)); #1130=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1748,#1010,(#788)); #1131=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1750,#1011,(#789)); #1132=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1752,#1012,(#790)); #1133=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1754,#1013,(#791)); #1134=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1756,#1014,(#792)); #1135=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1758,#1015,(#793)); #1136=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1760,#1016,(#794)); #1137=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1762,#1017,(#795)); #1138=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1764,#1018,(#796)); #1139=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1766,#1019,(#797)); #1140=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1768,#1020,(#798)); #1141=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1770,#1021,(#799)); #1142=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1772,#1022,(#800)); #1143=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1774,#1023,(#801)); #1144=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1776,#1024,(#802)); #1145=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1778,#1025,(#803)); #1146=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1780,#1026,(#804)); #1147=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1782,#1027,(#805)); #1148=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1784,#1028,(#806)); #1149=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1786,#1029,(#807)); #1150=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1788,#1030,(#808)); #1151=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1790,#1031,(#809)); #1152=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1792,#1032,(#810)); #1153=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1794,#1033,(#811)); #1154=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1796,#1034,(#812)); #1155=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1798,#1035,(#813)); #1156=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1800,#1036,(#814)); #1157=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1802,#1037,(#815)); #1158=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1804,#1038,(#816)); #1159=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1806,#1039,(#817)); #1160=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1808,#1040,(#818)); #1161=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1810,#1041,(#819)); #1162=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1812,#1042,(#820)); #1163=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1814,#1043,(#821)); #1164=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1816,#1044,(#822)); #1165=DATE_TIME_ROLE('creation_date'); #1166=DATE_TIME_ROLE('classification_date'); #1167=DATE_TIME_ROLE('creation_date'); #1168=DATE_TIME_ROLE('classification_date'); #1169=DATE_TIME_ROLE('creation_date'); #1170=DATE_TIME_ROLE('classification_date'); #1171=DATE_TIME_ROLE('classification_date'); #1172=DATE_TIME_ROLE('classification_date'); #1173=DATE_TIME_ROLE('classification_date'); #1174=DATE_TIME_ROLE('classification_date'); #1175=DATE_TIME_ROLE('classification_date'); #1176=DATE_TIME_ROLE('creation_date'); #1177=DATE_TIME_ROLE('classification_date'); #1178=DATE_TIME_ROLE('creation_date'); #1179=DATE_TIME_ROLE('classification_date'); #1180=DATE_TIME_ROLE('creation_date'); #1181=DATE_TIME_ROLE('classification_date'); #1182=DATE_TIME_ROLE('creation_date'); #1183=DATE_TIME_ROLE('classification_date'); #1184=DATE_TIME_ROLE('classification_date'); #1185=DATE_TIME_ROLE('classification_date'); #1186=DATE_TIME_ROLE('classification_date'); #1187=DATE_TIME_ROLE('classification_date'); #1188=DATE_TIME_ROLE('classification_date'); #1189=DATE_TIME_ROLE('classification_date'); #1190=DATE_TIME_ROLE('classification_date'); #1191=DATE_TIME_ROLE('classification_date'); #1192=DATE_TIME_ROLE('classification_date'); #1193=DATE_TIME_ROLE('classification_date'); #1194=DATE_TIME_ROLE('classification_date'); #1195=DATE_TIME_ROLE('classification_date'); #1196=DATE_TIME_ROLE('classification_date'); #1197=DATE_TIME_ROLE('classification_date'); #1198=DATE_TIME_ROLE('classification_date'); #1199=DATE_TIME_ROLE('classification_date'); #1200=DATE_TIME_ROLE('classification_date'); #1201=DATE_TIME_ROLE('classification_date'); #1202=DATE_TIME_ROLE('classification_date'); #1203=DATE_TIME_ROLE('classification_date'); #1204=DATE_TIME_ROLE('classification_date'); #1205=DATE_TIME_ROLE('classification_date'); #1206=DATE_TIME_ROLE('classification_date'); #1207=DATE_TIME_ROLE('classification_date'); #1208=DATE_TIME_ROLE('classification_date'); #1209=DATE_TIME_ROLE('classification_date'); #1210=DATE_TIME_ROLE('classification_date'); #1211=DATE_TIME_ROLE('classification_date'); #1212=DATE_TIME_ROLE('classification_date'); #1213=DATE_TIME_ROLE('classification_date'); #1214=DATE_TIME_ROLE('classification_date'); #1215=DATE_TIME_ROLE('classification_date'); #1216=DATE_TIME_ROLE('classification_date'); #1217=DATE_TIME_ROLE('classification_date'); #1218=DATE_TIME_ROLE('classification_date'); #1219=DATE_TIME_ROLE('classification_date'); #1220=DATE_TIME_ROLE('classification_date'); #1221=DATE_TIME_ROLE('classification_date'); #1222=DATE_TIME_ROLE('classification_date'); #1223=DATE_TIME_ROLE('classification_date'); #1224=DATE_TIME_ROLE('classification_date'); #1225=DATE_TIME_ROLE('classification_date'); #1226=DATE_TIME_ROLE('classification_date'); #1227=DATE_TIME_ROLE('classification_date'); #1228=DATE_TIME_ROLE('classification_date'); #1229=DATE_TIME_ROLE('classification_date'); #1230=DATE_TIME_ROLE('classification_date'); #1231=DATE_TIME_ROLE('classification_date'); #1232=DATE_TIME_ROLE('classification_date'); #1233=DATE_TIME_ROLE('classification_date'); #1234=DATE_TIME_ROLE('classification_date'); #1235=DATE_TIME_ROLE('classification_date'); #1236=DATE_TIME_ROLE('classification_date'); #1237=DATE_TIME_ROLE('classification_date'); #1238=DATE_TIME_ROLE('classification_date'); #1239=DATE_TIME_ROLE('classification_date'); #1240=DATE_TIME_ROLE('classification_date'); #1241=DATE_TIME_ROLE('classification_date'); #1242=DATE_TIME_ROLE('classification_date'); #1243=DATE_TIME_ROLE('classification_date'); #1244=DATE_TIME_ROLE('classification_date'); #1245=DATE_TIME_ROLE('classification_date'); #1246=DATE_TIME_ROLE('classification_date'); #1247=DATE_TIME_ROLE('classification_date'); #1248=DATE_TIME_ROLE('classification_date'); #1249=DATE_TIME_ROLE('classification_date'); #1250=DATE_TIME_ROLE('classification_date'); #1251=DATE_TIME_ROLE('classification_date'); #1252=DATE_TIME_ROLE('classification_date'); #1253=DATE_TIME_ROLE('classification_date'); #1254=DATE_TIME_ROLE('classification_date'); #1255=DATE_TIME_ROLE('classification_date'); #1256=DATE_TIME_ROLE('classification_date'); #1257=DATE_TIME_ROLE('classification_date'); #1258=DATE_TIME_ROLE('classification_date'); #1259=DATE_TIME_ROLE('classification_date'); #1260=DATE_TIME_ROLE('classification_date'); #1261=DATE_TIME_ROLE('classification_date'); #1262=DATE_TIME_ROLE('classification_date'); #1263=DATE_TIME_ROLE('classification_date'); #1264=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2645,#1165,(#3061)); #1265=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2648,#1166,(#731)); #1266=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2650,#1167,(#3062)); #1267=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2653,#1168,(#732)); #1268=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2655,#1169,(#3063)); #1269=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2658,#1170,(#733)); #1270=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2660,#1171,(#734)); #1271=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2662,#1172,(#735)); #1272=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2664,#1173,(#736)); #1273=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2666,#1174,(#737)); #1274=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2668,#1175,(#738)); #1275=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2670,#1176,(#3064)); #1276=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2673,#1177,(#739)); #1277=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2675,#1178,(#3065)); #1278=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2678,#1179,(#740)); #1279=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2680,#1180,(#3066)); #1280=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2683,#1181,(#741)); #1281=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2685,#1182,(#3067)); #1282=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2688,#1183,(#742)); #1283=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2690,#1184,(#743)); #1284=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2692,#1185,(#744)); #1285=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2694,#1186,(#745)); #1286=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2696,#1187,(#746)); #1287=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2698,#1188,(#747)); #1288=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2700,#1189,(#748)); #1289=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2702,#1190,(#749)); #1290=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2704,#1191,(#750)); #1291=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2706,#1192,(#751)); #1292=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2708,#1193,(#752)); #1293=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2710,#1194,(#753)); #1294=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2712,#1195,(#754)); #1295=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2714,#1196,(#755)); #1296=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2716,#1197,(#756)); #1297=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2718,#1198,(#757)); #1298=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2720,#1199,(#758)); #1299=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2722,#1200,(#759)); #1300=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2724,#1201,(#760)); #1301=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2726,#1202,(#761)); #1302=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2728,#1203,(#762)); #1303=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2730,#1204,(#763)); #1304=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2732,#1205,(#764)); #1305=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2734,#1206,(#765)); #1306=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2736,#1207,(#766)); #1307=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2738,#1208,(#767)); #1308=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2740,#1209,(#768)); #1309=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2742,#1210,(#769)); #1310=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2744,#1211,(#770)); #1311=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2746,#1212,(#771)); #1312=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2748,#1213,(#772)); #1313=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2750,#1214,(#773)); #1314=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2752,#1215,(#774)); #1315=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2754,#1216,(#775)); #1316=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2756,#1217,(#776)); #1317=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2758,#1218,(#777)); #1318=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2760,#1219,(#778)); #1319=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2762,#1220,(#779)); #1320=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2764,#1221,(#780)); #1321=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2766,#1222,(#781)); #1322=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2768,#1223,(#782)); #1323=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2770,#1224,(#783)); #1324=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2772,#1225,(#784)); #1325=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2774,#1226,(#785)); #1326=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2776,#1227,(#786)); #1327=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2778,#1228,(#787)); #1328=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2780,#1229,(#788)); #1329=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2782,#1230,(#789)); #1330=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2784,#1231,(#790)); #1331=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2786,#1232,(#791)); #1332=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2788,#1233,(#792)); #1333=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2790,#1234,(#793)); #1334=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2792,#1235,(#794)); #1335=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2794,#1236,(#795)); #1336=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2796,#1237,(#796)); #1337=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2798,#1238,(#797)); #1338=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2800,#1239,(#798)); #1339=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2802,#1240,(#799)); #1340=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2804,#1241,(#800)); #1341=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2806,#1242,(#801)); #1342=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2808,#1243,(#802)); #1343=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2810,#1244,(#803)); #1344=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2812,#1245,(#804)); #1345=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2814,#1246,(#805)); #1346=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2816,#1247,(#806)); #1347=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2818,#1248,(#807)); #1348=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2820,#1249,(#808)); #1349=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2822,#1250,(#809)); #1350=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2824,#1251,(#810)); #1351=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2826,#1252,(#811)); #1352=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2828,#1253,(#812)); #1353=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2830,#1254,(#813)); #1354=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2832,#1255,(#814)); #1355=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2834,#1256,(#815)); #1356=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2836,#1257,(#816)); #1357=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2838,#1258,(#817)); #1358=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2840,#1259,(#818)); #1359=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2842,#1260,(#819)); #1360=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2844,#1261,(#820)); #1361=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2846,#1262,(#821)); #1362=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#2848,#1263,(#822)); #1363=CC_DESIGN_APPROVAL(#2955,(#3061)); #1364=CC_DESIGN_APPROVAL(#2956,(#3068)); #1365=CC_DESIGN_APPROVAL(#2957,(#731)); #1366=CC_DESIGN_APPROVAL(#2958,(#3062)); #1367=CC_DESIGN_APPROVAL(#2959,(#3069)); #1368=CC_DESIGN_APPROVAL(#2960,(#732)); #1369=CC_DESIGN_APPROVAL(#2961,(#3063)); #1370=CC_DESIGN_APPROVAL(#2962,(#3070)); #1371=CC_DESIGN_APPROVAL(#2963,(#733)); #1372=CC_DESIGN_APPROVAL(#2964,(#734)); #1373=CC_DESIGN_APPROVAL(#2965,(#735)); #1374=CC_DESIGN_APPROVAL(#2966,(#736)); #1375=CC_DESIGN_APPROVAL(#2967,(#737)); #1376=CC_DESIGN_APPROVAL(#2968,(#738)); #1377=CC_DESIGN_APPROVAL(#2969,(#3064)); #1378=CC_DESIGN_APPROVAL(#2970,(#3071)); #1379=CC_DESIGN_APPROVAL(#2971,(#739)); #1380=CC_DESIGN_APPROVAL(#2972,(#3065)); #1381=CC_DESIGN_APPROVAL(#2973,(#3072)); #1382=CC_DESIGN_APPROVAL(#2974,(#740)); #1383=CC_DESIGN_APPROVAL(#2975,(#3066)); #1384=CC_DESIGN_APPROVAL(#2976,(#3073)); #1385=CC_DESIGN_APPROVAL(#2977,(#741)); #1386=CC_DESIGN_APPROVAL(#2978,(#3067)); #1387=CC_DESIGN_APPROVAL(#2979,(#3074)); #1388=CC_DESIGN_APPROVAL(#2980,(#742)); #1389=CC_DESIGN_APPROVAL(#2981,(#743)); #1390=CC_DESIGN_APPROVAL(#2982,(#744)); #1391=CC_DESIGN_APPROVAL(#2983,(#745)); #1392=CC_DESIGN_APPROVAL(#2984,(#746)); #1393=CC_DESIGN_APPROVAL(#2985,(#747)); #1394=CC_DESIGN_APPROVAL(#2986,(#748)); #1395=CC_DESIGN_APPROVAL(#2987,(#749)); #1396=CC_DESIGN_APPROVAL(#2988,(#750)); #1397=CC_DESIGN_APPROVAL(#2989,(#751)); #1398=CC_DESIGN_APPROVAL(#2990,(#752)); #1399=CC_DESIGN_APPROVAL(#2991,(#753)); #1400=CC_DESIGN_APPROVAL(#2992,(#754)); #1401=CC_DESIGN_APPROVAL(#2993,(#755)); #1402=CC_DESIGN_APPROVAL(#2994,(#756)); #1403=CC_DESIGN_APPROVAL(#2995,(#757)); #1404=CC_DESIGN_APPROVAL(#2996,(#758)); #1405=CC_DESIGN_APPROVAL(#2997,(#759)); #1406=CC_DESIGN_APPROVAL(#2998,(#760)); #1407=CC_DESIGN_APPROVAL(#2999,(#761)); #1408=CC_DESIGN_APPROVAL(#3000,(#762)); #1409=CC_DESIGN_APPROVAL(#3001,(#763)); #1410=CC_DESIGN_APPROVAL(#3002,(#764)); #1411=CC_DESIGN_APPROVAL(#3003,(#765)); #1412=CC_DESIGN_APPROVAL(#3004,(#766)); #1413=CC_DESIGN_APPROVAL(#3005,(#767)); #1414=CC_DESIGN_APPROVAL(#3006,(#768)); #1415=CC_DESIGN_APPROVAL(#3007,(#769)); #1416=CC_DESIGN_APPROVAL(#3008,(#770)); #1417=CC_DESIGN_APPROVAL(#3009,(#771)); #1418=CC_DESIGN_APPROVAL(#3010,(#772)); #1419=CC_DESIGN_APPROVAL(#3011,(#773)); #1420=CC_DESIGN_APPROVAL(#3012,(#774)); #1421=CC_DESIGN_APPROVAL(#3013,(#775)); #1422=CC_DESIGN_APPROVAL(#3014,(#776)); #1423=CC_DESIGN_APPROVAL(#3015,(#777)); #1424=CC_DESIGN_APPROVAL(#3016,(#778)); #1425=CC_DESIGN_APPROVAL(#3017,(#779)); #1426=CC_DESIGN_APPROVAL(#3018,(#780)); #1427=CC_DESIGN_APPROVAL(#3019,(#781)); #1428=CC_DESIGN_APPROVAL(#3020,(#782)); #1429=CC_DESIGN_APPROVAL(#3021,(#783)); #1430=CC_DESIGN_APPROVAL(#3022,(#784)); #1431=CC_DESIGN_APPROVAL(#3023,(#785)); #1432=CC_DESIGN_APPROVAL(#3024,(#786)); #1433=CC_DESIGN_APPROVAL(#3025,(#787)); #1434=CC_DESIGN_APPROVAL(#3026,(#788)); #1435=CC_DESIGN_APPROVAL(#3027,(#789)); #1436=CC_DESIGN_APPROVAL(#3028,(#790)); #1437=CC_DESIGN_APPROVAL(#3029,(#791)); #1438=CC_DESIGN_APPROVAL(#3030,(#792)); #1439=CC_DESIGN_APPROVAL(#3031,(#793)); #1440=CC_DESIGN_APPROVAL(#3032,(#794)); #1441=CC_DESIGN_APPROVAL(#3033,(#795)); #1442=CC_DESIGN_APPROVAL(#3034,(#796)); #1443=CC_DESIGN_APPROVAL(#3035,(#797)); #1444=CC_DESIGN_APPROVAL(#3036,(#798)); #1445=CC_DESIGN_APPROVAL(#3037,(#799)); #1446=CC_DESIGN_APPROVAL(#3038,(#800)); #1447=CC_DESIGN_APPROVAL(#3039,(#801)); #1448=CC_DESIGN_APPROVAL(#3040,(#802)); #1449=CC_DESIGN_APPROVAL(#3041,(#803)); #1450=CC_DESIGN_APPROVAL(#3042,(#804)); #1451=CC_DESIGN_APPROVAL(#3043,(#805)); #1452=CC_DESIGN_APPROVAL(#3044,(#806)); #1453=CC_DESIGN_APPROVAL(#3045,(#807)); #1454=CC_DESIGN_APPROVAL(#3046,(#808)); #1455=CC_DESIGN_APPROVAL(#3047,(#809)); #1456=CC_DESIGN_APPROVAL(#3048,(#810)); #1457=CC_DESIGN_APPROVAL(#3049,(#811)); #1458=CC_DESIGN_APPROVAL(#3050,(#812)); #1459=CC_DESIGN_APPROVAL(#3051,(#813)); #1460=CC_DESIGN_APPROVAL(#3052,(#814)); #1461=CC_DESIGN_APPROVAL(#3053,(#815)); #1462=CC_DESIGN_APPROVAL(#3054,(#816)); #1463=CC_DESIGN_APPROVAL(#3055,(#817)); #1464=CC_DESIGN_APPROVAL(#3056,(#818)); #1465=CC_DESIGN_APPROVAL(#3057,(#819)); #1466=CC_DESIGN_APPROVAL(#3058,(#820)); #1467=CC_DESIGN_APPROVAL(#3059,(#821)); #1468=CC_DESIGN_APPROVAL(#3060,(#822)); #1469=APPROVAL_PERSON_ORGANIZATION(#1591,#2955,#1817); #1470=APPROVAL_PERSON_ORGANIZATION(#1593,#2956,#1818); #1471=APPROVAL_PERSON_ORGANIZATION(#1594,#2957,#1819); #1472=APPROVAL_PERSON_ORGANIZATION(#1599,#2958,#1820); #1473=APPROVAL_PERSON_ORGANIZATION(#1601,#2959,#1821); #1474=APPROVAL_PERSON_ORGANIZATION(#1602,#2960,#1822); #1475=APPROVAL_PERSON_ORGANIZATION(#1607,#2961,#1823); #1476=APPROVAL_PERSON_ORGANIZATION(#1609,#2962,#1824); #1477=APPROVAL_PERSON_ORGANIZATION(#1610,#2963,#1825); #1478=APPROVAL_PERSON_ORGANIZATION(#1615,#2964,#1826); #1479=APPROVAL_PERSON_ORGANIZATION(#1617,#2965,#1827); #1480=APPROVAL_PERSON_ORGANIZATION(#1619,#2966,#1828); #1481=APPROVAL_PERSON_ORGANIZATION(#1621,#2967,#1829); #1482=APPROVAL_PERSON_ORGANIZATION(#1623,#2968,#1830); #1483=APPROVAL_PERSON_ORGANIZATION(#1625,#2969,#1831); #1484=APPROVAL_PERSON_ORGANIZATION(#1627,#2970,#1832); #1485=APPROVAL_PERSON_ORGANIZATION(#1628,#2971,#1833); #1486=APPROVAL_PERSON_ORGANIZATION(#1633,#2972,#1834); #1487=APPROVAL_PERSON_ORGANIZATION(#1635,#2973,#1835); #1488=APPROVAL_PERSON_ORGANIZATION(#1636,#2974,#1836); #1489=APPROVAL_PERSON_ORGANIZATION(#1641,#2975,#1837); #1490=APPROVAL_PERSON_ORGANIZATION(#1643,#2976,#1838); #1491=APPROVAL_PERSON_ORGANIZATION(#1644,#2977,#1839); #1492=APPROVAL_PERSON_ORGANIZATION(#1649,#2978,#1840); #1493=APPROVAL_PERSON_ORGANIZATION(#1651,#2979,#1841); #1494=APPROVAL_PERSON_ORGANIZATION(#1652,#2980,#1842); #1495=APPROVAL_PERSON_ORGANIZATION(#1657,#2981,#1843); #1496=APPROVAL_PERSON_ORGANIZATION(#1659,#2982,#1844); #1497=APPROVAL_PERSON_ORGANIZATION(#1661,#2983,#1845); #1498=APPROVAL_PERSON_ORGANIZATION(#1663,#2984,#1846); #1499=APPROVAL_PERSON_ORGANIZATION(#1665,#2985,#1847); #1500=APPROVAL_PERSON_ORGANIZATION(#1667,#2986,#1848); #1501=APPROVAL_PERSON_ORGANIZATION(#1669,#2987,#1849); #1502=APPROVAL_PERSON_ORGANIZATION(#1671,#2988,#1850); #1503=APPROVAL_PERSON_ORGANIZATION(#1673,#2989,#1851); #1504=APPROVAL_PERSON_ORGANIZATION(#1675,#2990,#1852); #1505=APPROVAL_PERSON_ORGANIZATION(#1677,#2991,#1853); #1506=APPROVAL_PERSON_ORGANIZATION(#1679,#2992,#1854); #1507=APPROVAL_PERSON_ORGANIZATION(#1681,#2993,#1855); #1508=APPROVAL_PERSON_ORGANIZATION(#1683,#2994,#1856); #1509=APPROVAL_PERSON_ORGANIZATION(#1685,#2995,#1857); #1510=APPROVAL_PERSON_ORGANIZATION(#1687,#2996,#1858); #1511=APPROVAL_PERSON_ORGANIZATION(#1689,#2997,#1859); #1512=APPROVAL_PERSON_ORGANIZATION(#1691,#2998,#1860); #1513=APPROVAL_PERSON_ORGANIZATION(#1693,#2999,#1861); #1514=APPROVAL_PERSON_ORGANIZATION(#1695,#3000,#1862); #1515=APPROVAL_PERSON_ORGANIZATION(#1697,#3001,#1863); #1516=APPROVAL_PERSON_ORGANIZATION(#1699,#3002,#1864); #1517=APPROVAL_PERSON_ORGANIZATION(#1701,#3003,#1865); #1518=APPROVAL_PERSON_ORGANIZATION(#1703,#3004,#1866); #1519=APPROVAL_PERSON_ORGANIZATION(#1705,#3005,#1867); #1520=APPROVAL_PERSON_ORGANIZATION(#1707,#3006,#1868); #1521=APPROVAL_PERSON_ORGANIZATION(#1709,#3007,#1869); #1522=APPROVAL_PERSON_ORGANIZATION(#1711,#3008,#1870); #1523=APPROVAL_PERSON_ORGANIZATION(#1713,#3009,#1871); #1524=APPROVAL_PERSON_ORGANIZATION(#1715,#3010,#1872); #1525=APPROVAL_PERSON_ORGANIZATION(#1717,#3011,#1873); #1526=APPROVAL_PERSON_ORGANIZATION(#1719,#3012,#1874); #1527=APPROVAL_PERSON_ORGANIZATION(#1721,#3013,#1875); #1528=APPROVAL_PERSON_ORGANIZATION(#1723,#3014,#1876); #1529=APPROVAL_PERSON_ORGANIZATION(#1725,#3015,#1877); #1530=APPROVAL_PERSON_ORGANIZATION(#1727,#3016,#1878); #1531=APPROVAL_PERSON_ORGANIZATION(#1729,#3017,#1879); #1532=APPROVAL_PERSON_ORGANIZATION(#1731,#3018,#1880); #1533=APPROVAL_PERSON_ORGANIZATION(#1733,#3019,#1881); #1534=APPROVAL_PERSON_ORGANIZATION(#1735,#3020,#1882); #1535=APPROVAL_PERSON_ORGANIZATION(#1737,#3021,#1883); #1536=APPROVAL_PERSON_ORGANIZATION(#1739,#3022,#1884); #1537=APPROVAL_PERSON_ORGANIZATION(#1741,#3023,#1885); #1538=APPROVAL_PERSON_ORGANIZATION(#1743,#3024,#1886); #1539=APPROVAL_PERSON_ORGANIZATION(#1745,#3025,#1887); #1540=APPROVAL_PERSON_ORGANIZATION(#1747,#3026,#1888); #1541=APPROVAL_PERSON_ORGANIZATION(#1749,#3027,#1889); #1542=APPROVAL_PERSON_ORGANIZATION(#1751,#3028,#1890); #1543=APPROVAL_PERSON_ORGANIZATION(#1753,#3029,#1891); #1544=APPROVAL_PERSON_ORGANIZATION(#1755,#3030,#1892); #1545=APPROVAL_PERSON_ORGANIZATION(#1757,#3031,#1893); #1546=APPROVAL_PERSON_ORGANIZATION(#1759,#3032,#1894); #1547=APPROVAL_PERSON_ORGANIZATION(#1761,#3033,#1895); #1548=APPROVAL_PERSON_ORGANIZATION(#1763,#3034,#1896); #1549=APPROVAL_PERSON_ORGANIZATION(#1765,#3035,#1897); #1550=APPROVAL_PERSON_ORGANIZATION(#1767,#3036,#1898); #1551=APPROVAL_PERSON_ORGANIZATION(#1769,#3037,#1899); #1552=APPROVAL_PERSON_ORGANIZATION(#1771,#3038,#1900); #1553=APPROVAL_PERSON_ORGANIZATION(#1773,#3039,#1901); #1554=APPROVAL_PERSON_ORGANIZATION(#1775,#3040,#1902); #1555=APPROVAL_PERSON_ORGANIZATION(#1777,#3041,#1903); #1556=APPROVAL_PERSON_ORGANIZATION(#1779,#3042,#1904); #1557=APPROVAL_PERSON_ORGANIZATION(#1781,#3043,#1905); #1558=APPROVAL_PERSON_ORGANIZATION(#1783,#3044,#1906); #1559=APPROVAL_PERSON_ORGANIZATION(#1785,#3045,#1907); #1560=APPROVAL_PERSON_ORGANIZATION(#1787,#3046,#1908); #1561=APPROVAL_PERSON_ORGANIZATION(#1789,#3047,#1909); #1562=APPROVAL_PERSON_ORGANIZATION(#1791,#3048,#1910); #1563=APPROVAL_PERSON_ORGANIZATION(#1793,#3049,#1911); #1564=APPROVAL_PERSON_ORGANIZATION(#1795,#3050,#1912); #1565=APPROVAL_PERSON_ORGANIZATION(#1797,#3051,#1913); #1566=APPROVAL_PERSON_ORGANIZATION(#1799,#3052,#1914); #1567=APPROVAL_PERSON_ORGANIZATION(#1801,#3053,#1915); #1568=APPROVAL_PERSON_ORGANIZATION(#1803,#3054,#1916); #1569=APPROVAL_PERSON_ORGANIZATION(#1805,#3055,#1917); #1570=APPROVAL_PERSON_ORGANIZATION(#1807,#3056,#1918); #1571=APPROVAL_PERSON_ORGANIZATION(#1809,#3057,#1919); #1572=APPROVAL_PERSON_ORGANIZATION(#1811,#3058,#1920); #1573=APPROVAL_PERSON_ORGANIZATION(#1813,#3059,#1921); #1574=APPROVAL_PERSON_ORGANIZATION(#1815,#3060,#1922); #1575=ORGANIZATION('DEFINITION_APPROVEDBY_ORG_ID', 'DEFINITION_APPROVEDBY_ORG_NAME','DEFINITION_APPROVEDBY_ORG_DESCR'); #1576=ORGANIZATION('DEF_CREATOR_ORG_ID','DEF_CREATOR_ORG_NAME', 'DEF_CREATOR_ORG_DESCR'); #1577=ORGANIZATION('APPROVEDBY_ORG_ID','APPROVEDBY_ORG_NAME', 'APPROVEDBY_ORG_DESCR'); #1578=ORGANIZATION('SECURITY_APPROVEDBY_ORG_ID', 'SECURITY_APPROVEDBY_ORG_NAME','SECURITY_APPROVEDBY_ORG_DESCR'); #1579=ORGANIZATION('CLASSOFFICER_ORG_ID','CLASSOFFICER_ORG_NAME', 'CLASSOFFICER_ORG_DESCR'); #1580=ORGANIZATION('CREATOR_ORG_ID','CREATOR_ORG_NAME', 'CREATOR_ORG_DESCR'); #1581=ORGANIZATION('SUPPLIER_ORG_ID','SUPPLIER_ORG_NAME', 'SUPPLIER_ORG_DESCR'); #1582=ORGANIZATION('OWNER_ORG_ID','OWNER_ORG_NAME','OWNER_ORG_DESCR'); #1583=PERSON('DEFINITION_approverID','DEFINITION_APPROVEDBY_LASTNAME', 'DEFINITION_APPROVEDBY_FIRSTNAME',$,$,$); #1584=PERSON('DEF_createrID','DEF_CREATOR_LASTNAME', 'DEF_CREATOR_FIRSTNAME',$,$,$); #1585=PERSON('approverID','APPROVEDBY_LASTNAME','APPROVEDBY_FIRSTNAME',$, $,$); #1586=PERSON('SECURITY_approverID','SECURITY_LASTNAME', 'SECURITY_APPROVEDBY_FIRSTNAME',$,$,$); #1587=PERSON('classifierID','CLASSOFFICER_LASTNAME', 'CLASSOFFICER_FIRSTNAME',$,$,$); #1588=PERSON('createrID','CREATOR_LASTNAME','CREATOR_FIRSTNAME',$,$,$); #1589=PERSON('supplierID','SUPPLIER_LASTNAME','SUPPLIER_FIRSTNAME',$,$,$); #1590=PERSON('ownerID','OWNER_LASTNAME','OWNER_FIRSTNAME',$,$,$); #1591=PERSON_AND_ORGANIZATION(#1583,#1575); #1592=PERSON_AND_ORGANIZATION(#1584,#1576); #1593=PERSON_AND_ORGANIZATION(#1585,#1577); #1594=PERSON_AND_ORGANIZATION(#1586,#1578); #1595=PERSON_AND_ORGANIZATION(#1587,#1579); #1596=PERSON_AND_ORGANIZATION(#1588,#1580); #1597=PERSON_AND_ORGANIZATION(#1589,#1581); #1598=PERSON_AND_ORGANIZATION(#1590,#1582); #1599=PERSON_AND_ORGANIZATION(#1583,#1575); #1600=PERSON_AND_ORGANIZATION(#1584,#1576); #1601=PERSON_AND_ORGANIZATION(#1585,#1577); #1602=PERSON_AND_ORGANIZATION(#1586,#1578); #1603=PERSON_AND_ORGANIZATION(#1587,#1579); #1604=PERSON_AND_ORGANIZATION(#1588,#1580); #1605=PERSON_AND_ORGANIZATION(#1589,#1581); #1606=PERSON_AND_ORGANIZATION(#1590,#1582); #1607=PERSON_AND_ORGANIZATION(#1583,#1575); #1608=PERSON_AND_ORGANIZATION(#1584,#1576); #1609=PERSON_AND_ORGANIZATION(#1585,#1577); #1610=PERSON_AND_ORGANIZATION(#1586,#1578); #1611=PERSON_AND_ORGANIZATION(#1587,#1579); #1612=PERSON_AND_ORGANIZATION(#1588,#1580); #1613=PERSON_AND_ORGANIZATION(#1589,#1581); #1614=PERSON_AND_ORGANIZATION(#1590,#1582); #1615=PERSON_AND_ORGANIZATION(#1586,#1578); #1616=PERSON_AND_ORGANIZATION(#1587,#1579); #1617=PERSON_AND_ORGANIZATION(#1586,#1578); #1618=PERSON_AND_ORGANIZATION(#1587,#1579); #1619=PERSON_AND_ORGANIZATION(#1586,#1578); #1620=PERSON_AND_ORGANIZATION(#1587,#1579); #1621=PERSON_AND_ORGANIZATION(#1586,#1578); #1622=PERSON_AND_ORGANIZATION(#1587,#1579); #1623=PERSON_AND_ORGANIZATION(#1586,#1578); #1624=PERSON_AND_ORGANIZATION(#1587,#1579); #1625=PERSON_AND_ORGANIZATION(#1583,#1575); #1626=PERSON_AND_ORGANIZATION(#1584,#1576); #1627=PERSON_AND_ORGANIZATION(#1585,#1577); #1628=PERSON_AND_ORGANIZATION(#1586,#1578); #1629=PERSON_AND_ORGANIZATION(#1587,#1579); #1630=PERSON_AND_ORGANIZATION(#1588,#1580); #1631=PERSON_AND_ORGANIZATION(#1589,#1581); #1632=PERSON_AND_ORGANIZATION(#1590,#1582); #1633=PERSON_AND_ORGANIZATION(#1583,#1575); #1634=PERSON_AND_ORGANIZATION(#1584,#1576); #1635=PERSON_AND_ORGANIZATION(#1585,#1577); #1636=PERSON_AND_ORGANIZATION(#1586,#1578); #1637=PERSON_AND_ORGANIZATION(#1587,#1579); #1638=PERSON_AND_ORGANIZATION(#1588,#1580); #1639=PERSON_AND_ORGANIZATION(#1589,#1581); #1640=PERSON_AND_ORGANIZATION(#1590,#1582); #1641=PERSON_AND_ORGANIZATION(#1583,#1575); #1642=PERSON_AND_ORGANIZATION(#1584,#1576); #1643=PERSON_AND_ORGANIZATION(#1585,#1577); #1644=PERSON_AND_ORGANIZATION(#1586,#1578); #1645=PERSON_AND_ORGANIZATION(#1587,#1579); #1646=PERSON_AND_ORGANIZATION(#1588,#1580); #1647=PERSON_AND_ORGANIZATION(#1589,#1581); #1648=PERSON_AND_ORGANIZATION(#1590,#1582); #1649=PERSON_AND_ORGANIZATION(#1583,#1575); #1650=PERSON_AND_ORGANIZATION(#1584,#1576); #1651=PERSON_AND_ORGANIZATION(#1585,#1577); #1652=PERSON_AND_ORGANIZATION(#1586,#1578); #1653=PERSON_AND_ORGANIZATION(#1587,#1579); #1654=PERSON_AND_ORGANIZATION(#1588,#1580); #1655=PERSON_AND_ORGANIZATION(#1589,#1581); #1656=PERSON_AND_ORGANIZATION(#1590,#1582); #1657=PERSON_AND_ORGANIZATION(#1586,#1578); #1658=PERSON_AND_ORGANIZATION(#1587,#1579); #1659=PERSON_AND_ORGANIZATION(#1586,#1578); #1660=PERSON_AND_ORGANIZATION(#1587,#1579); #1661=PERSON_AND_ORGANIZATION(#1586,#1578); #1662=PERSON_AND_ORGANIZATION(#1587,#1579); #1663=PERSON_AND_ORGANIZATION(#1586,#1578); #1664=PERSON_AND_ORGANIZATION(#1587,#1579); #1665=PERSON_AND_ORGANIZATION(#1586,#1578); #1666=PERSON_AND_ORGANIZATION(#1587,#1579); #1667=PERSON_AND_ORGANIZATION(#1586,#1578); #1668=PERSON_AND_ORGANIZATION(#1587,#1579); #1669=PERSON_AND_ORGANIZATION(#1586,#1578); #1670=PERSON_AND_ORGANIZATION(#1587,#1579); #1671=PERSON_AND_ORGANIZATION(#1586,#1578); #1672=PERSON_AND_ORGANIZATION(#1587,#1579); #1673=PERSON_AND_ORGANIZATION(#1586,#1578); #1674=PERSON_AND_ORGANIZATION(#1587,#1579); #1675=PERSON_AND_ORGANIZATION(#1586,#1578); #1676=PERSON_AND_ORGANIZATION(#1587,#1579); #1677=PERSON_AND_ORGANIZATION(#1586,#1578); #1678=PERSON_AND_ORGANIZATION(#1587,#1579); #1679=PERSON_AND_ORGANIZATION(#1586,#1578); #1680=PERSON_AND_ORGANIZATION(#1587,#1579); #1681=PERSON_AND_ORGANIZATION(#1586,#1578); #1682=PERSON_AND_ORGANIZATION(#1587,#1579); #1683=PERSON_AND_ORGANIZATION(#1586,#1578); #1684=PERSON_AND_ORGANIZATION(#1587,#1579); #1685=PERSON_AND_ORGANIZATION(#1586,#1578); #1686=PERSON_AND_ORGANIZATION(#1587,#1579); #1687=PERSON_AND_ORGANIZATION(#1586,#1578); #1688=PERSON_AND_ORGANIZATION(#1587,#1579); #1689=PERSON_AND_ORGANIZATION(#1586,#1578); #1690=PERSON_AND_ORGANIZATION(#1587,#1579); #1691=PERSON_AND_ORGANIZATION(#1586,#1578); #1692=PERSON_AND_ORGANIZATION(#1587,#1579); #1693=PERSON_AND_ORGANIZATION(#1586,#1578); #1694=PERSON_AND_ORGANIZATION(#1587,#1579); #1695=PERSON_AND_ORGANIZATION(#1586,#1578); #1696=PERSON_AND_ORGANIZATION(#1587,#1579); #1697=PERSON_AND_ORGANIZATION(#1586,#1578); #1698=PERSON_AND_ORGANIZATION(#1587,#1579); #1699=PERSON_AND_ORGANIZATION(#1586,#1578); #1700=PERSON_AND_ORGANIZATION(#1587,#1579); #1701=PERSON_AND_ORGANIZATION(#1586,#1578); #1702=PERSON_AND_ORGANIZATION(#1587,#1579); #1703=PERSON_AND_ORGANIZATION(#1586,#1578); #1704=PERSON_AND_ORGANIZATION(#1587,#1579); #1705=PERSON_AND_ORGANIZATION(#1586,#1578); #1706=PERSON_AND_ORGANIZATION(#1587,#1579); #1707=PERSON_AND_ORGANIZATION(#1586,#1578); #1708=PERSON_AND_ORGANIZATION(#1587,#1579); #1709=PERSON_AND_ORGANIZATION(#1586,#1578); #1710=PERSON_AND_ORGANIZATION(#1587,#1579); #1711=PERSON_AND_ORGANIZATION(#1586,#1578); #1712=PERSON_AND_ORGANIZATION(#1587,#1579); #1713=PERSON_AND_ORGANIZATION(#1586,#1578); #1714=PERSON_AND_ORGANIZATION(#1587,#1579); #1715=PERSON_AND_ORGANIZATION(#1586,#1578); #1716=PERSON_AND_ORGANIZATION(#1587,#1579); #1717=PERSON_AND_ORGANIZATION(#1586,#1578); #1718=PERSON_AND_ORGANIZATION(#1587,#1579); #1719=PERSON_AND_ORGANIZATION(#1586,#1578); #1720=PERSON_AND_ORGANIZATION(#1587,#1579); #1721=PERSON_AND_ORGANIZATION(#1586,#1578); #1722=PERSON_AND_ORGANIZATION(#1587,#1579); #1723=PERSON_AND_ORGANIZATION(#1586,#1578); #1724=PERSON_AND_ORGANIZATION(#1587,#1579); #1725=PERSON_AND_ORGANIZATION(#1586,#1578); #1726=PERSON_AND_ORGANIZATION(#1587,#1579); #1727=PERSON_AND_ORGANIZATION(#1586,#1578); #1728=PERSON_AND_ORGANIZATION(#1587,#1579); #1729=PERSON_AND_ORGANIZATION(#1586,#1578); #1730=PERSON_AND_ORGANIZATION(#1587,#1579); #1731=PERSON_AND_ORGANIZATION(#1586,#1578); #1732=PERSON_AND_ORGANIZATION(#1587,#1579); #1733=PERSON_AND_ORGANIZATION(#1586,#1578); #1734=PERSON_AND_ORGANIZATION(#1587,#1579); #1735=PERSON_AND_ORGANIZATION(#1586,#1578); #1736=PERSON_AND_ORGANIZATION(#1587,#1579); #1737=PERSON_AND_ORGANIZATION(#1586,#1578); #1738=PERSON_AND_ORGANIZATION(#1587,#1579); #1739=PERSON_AND_ORGANIZATION(#1586,#1578); #1740=PERSON_AND_ORGANIZATION(#1587,#1579); #1741=PERSON_AND_ORGANIZATION(#1586,#1578); #1742=PERSON_AND_ORGANIZATION(#1587,#1579); #1743=PERSON_AND_ORGANIZATION(#1586,#1578); #1744=PERSON_AND_ORGANIZATION(#1587,#1579); #1745=PERSON_AND_ORGANIZATION(#1586,#1578); #1746=PERSON_AND_ORGANIZATION(#1587,#1579); #1747=PERSON_AND_ORGANIZATION(#1586,#1578); #1748=PERSON_AND_ORGANIZATION(#1587,#1579); #1749=PERSON_AND_ORGANIZATION(#1586,#1578); #1750=PERSON_AND_ORGANIZATION(#1587,#1579); #1751=PERSON_AND_ORGANIZATION(#1586,#1578); #1752=PERSON_AND_ORGANIZATION(#1587,#1579); #1753=PERSON_AND_ORGANIZATION(#1586,#1578); #1754=PERSON_AND_ORGANIZATION(#1587,#1579); #1755=PERSON_AND_ORGANIZATION(#1586,#1578); #1756=PERSON_AND_ORGANIZATION(#1587,#1579); #1757=PERSON_AND_ORGANIZATION(#1586,#1578); #1758=PERSON_AND_ORGANIZATION(#1587,#1579); #1759=PERSON_AND_ORGANIZATION(#1586,#1578); #1760=PERSON_AND_ORGANIZATION(#1587,#1579); #1761=PERSON_AND_ORGANIZATION(#1586,#1578); #1762=PERSON_AND_ORGANIZATION(#1587,#1579); #1763=PERSON_AND_ORGANIZATION(#1586,#1578); #1764=PERSON_AND_ORGANIZATION(#1587,#1579); #1765=PERSON_AND_ORGANIZATION(#1586,#1578); #1766=PERSON_AND_ORGANIZATION(#1587,#1579); #1767=PERSON_AND_ORGANIZATION(#1586,#1578); #1768=PERSON_AND_ORGANIZATION(#1587,#1579); #1769=PERSON_AND_ORGANIZATION(#1586,#1578); #1770=PERSON_AND_ORGANIZATION(#1587,#1579); #1771=PERSON_AND_ORGANIZATION(#1586,#1578); #1772=PERSON_AND_ORGANIZATION(#1587,#1579); #1773=PERSON_AND_ORGANIZATION(#1586,#1578); #1774=PERSON_AND_ORGANIZATION(#1587,#1579); #1775=PERSON_AND_ORGANIZATION(#1586,#1578); #1776=PERSON_AND_ORGANIZATION(#1587,#1579); #1777=PERSON_AND_ORGANIZATION(#1586,#1578); #1778=PERSON_AND_ORGANIZATION(#1587,#1579); #1779=PERSON_AND_ORGANIZATION(#1586,#1578); #1780=PERSON_AND_ORGANIZATION(#1587,#1579); #1781=PERSON_AND_ORGANIZATION(#1586,#1578); #1782=PERSON_AND_ORGANIZATION(#1587,#1579); #1783=PERSON_AND_ORGANIZATION(#1586,#1578); #1784=PERSON_AND_ORGANIZATION(#1587,#1579); #1785=PERSON_AND_ORGANIZATION(#1586,#1578); #1786=PERSON_AND_ORGANIZATION(#1587,#1579); #1787=PERSON_AND_ORGANIZATION(#1586,#1578); #1788=PERSON_AND_ORGANIZATION(#1587,#1579); #1789=PERSON_AND_ORGANIZATION(#1586,#1578); #1790=PERSON_AND_ORGANIZATION(#1587,#1579); #1791=PERSON_AND_ORGANIZATION(#1586,#1578); #1792=PERSON_AND_ORGANIZATION(#1587,#1579); #1793=PERSON_AND_ORGANIZATION(#1586,#1578); #1794=PERSON_AND_ORGANIZATION(#1587,#1579); #1795=PERSON_AND_ORGANIZATION(#1586,#1578); #1796=PERSON_AND_ORGANIZATION(#1587,#1579); #1797=PERSON_AND_ORGANIZATION(#1586,#1578); #1798=PERSON_AND_ORGANIZATION(#1587,#1579); #1799=PERSON_AND_ORGANIZATION(#1586,#1578); #1800=PERSON_AND_ORGANIZATION(#1587,#1579); #1801=PERSON_AND_ORGANIZATION(#1586,#1578); #1802=PERSON_AND_ORGANIZATION(#1587,#1579); #1803=PERSON_AND_ORGANIZATION(#1586,#1578); #1804=PERSON_AND_ORGANIZATION(#1587,#1579); #1805=PERSON_AND_ORGANIZATION(#1586,#1578); #1806=PERSON_AND_ORGANIZATION(#1587,#1579); #1807=PERSON_AND_ORGANIZATION(#1586,#1578); #1808=PERSON_AND_ORGANIZATION(#1587,#1579); #1809=PERSON_AND_ORGANIZATION(#1586,#1578); #1810=PERSON_AND_ORGANIZATION(#1587,#1579); #1811=PERSON_AND_ORGANIZATION(#1586,#1578); #1812=PERSON_AND_ORGANIZATION(#1587,#1579); #1813=PERSON_AND_ORGANIZATION(#1586,#1578); #1814=PERSON_AND_ORGANIZATION(#1587,#1579); #1815=PERSON_AND_ORGANIZATION(#1586,#1578); #1816=PERSON_AND_ORGANIZATION(#1587,#1579); #1817=APPROVAL_ROLE('definition_approval'); #1818=APPROVAL_ROLE('version_approval'); #1819=APPROVAL_ROLE('security_approval'); #1820=APPROVAL_ROLE('definition_approval'); #1821=APPROVAL_ROLE('version_approval'); #1822=APPROVAL_ROLE('security_approval'); #1823=APPROVAL_ROLE('definition_approval'); #1824=APPROVAL_ROLE('version_approval'); #1825=APPROVAL_ROLE('security_approval'); #1826=APPROVAL_ROLE('security_approval'); #1827=APPROVAL_ROLE('security_approval'); #1828=APPROVAL_ROLE('security_approval'); #1829=APPROVAL_ROLE('security_approval'); #1830=APPROVAL_ROLE('security_approval'); #1831=APPROVAL_ROLE('definition_approval'); #1832=APPROVAL_ROLE('version_approval'); #1833=APPROVAL_ROLE('security_approval'); #1834=APPROVAL_ROLE('definition_approval'); #1835=APPROVAL_ROLE('version_approval'); #1836=APPROVAL_ROLE('security_approval'); #1837=APPROVAL_ROLE('definition_approval'); #1838=APPROVAL_ROLE('version_approval'); #1839=APPROVAL_ROLE('security_approval'); #1840=APPROVAL_ROLE('definition_approval'); #1841=APPROVAL_ROLE('version_approval'); #1842=APPROVAL_ROLE('security_approval'); #1843=APPROVAL_ROLE('security_approval'); #1844=APPROVAL_ROLE('security_approval'); #1845=APPROVAL_ROLE('security_approval'); #1846=APPROVAL_ROLE('security_approval'); #1847=APPROVAL_ROLE('security_approval'); #1848=APPROVAL_ROLE('security_approval'); #1849=APPROVAL_ROLE('security_approval'); #1850=APPROVAL_ROLE('security_approval'); #1851=APPROVAL_ROLE('security_approval'); #1852=APPROVAL_ROLE('security_approval'); #1853=APPROVAL_ROLE('security_approval'); #1854=APPROVAL_ROLE('security_approval'); #1855=APPROVAL_ROLE('security_approval'); #1856=APPROVAL_ROLE('security_approval'); #1857=APPROVAL_ROLE('security_approval'); #1858=APPROVAL_ROLE('security_approval'); #1859=APPROVAL_ROLE('security_approval'); #1860=APPROVAL_ROLE('security_approval'); #1861=APPROVAL_ROLE('security_approval'); #1862=APPROVAL_ROLE('security_approval'); #1863=APPROVAL_ROLE('security_approval'); #1864=APPROVAL_ROLE('security_approval'); #1865=APPROVAL_ROLE('security_approval'); #1866=APPROVAL_ROLE('security_approval'); #1867=APPROVAL_ROLE('security_approval'); #1868=APPROVAL_ROLE('security_approval'); #1869=APPROVAL_ROLE('security_approval'); #1870=APPROVAL_ROLE('security_approval'); #1871=APPROVAL_ROLE('security_approval'); #1872=APPROVAL_ROLE('security_approval'); #1873=APPROVAL_ROLE('security_approval'); #1874=APPROVAL_ROLE('security_approval'); #1875=APPROVAL_ROLE('security_approval'); #1876=APPROVAL_ROLE('security_approval'); #1877=APPROVAL_ROLE('security_approval'); #1878=APPROVAL_ROLE('security_approval'); #1879=APPROVAL_ROLE('security_approval'); #1880=APPROVAL_ROLE('security_approval'); #1881=APPROVAL_ROLE('security_approval'); #1882=APPROVAL_ROLE('security_approval'); #1883=APPROVAL_ROLE('security_approval'); #1884=APPROVAL_ROLE('security_approval'); #1885=APPROVAL_ROLE('security_approval'); #1886=APPROVAL_ROLE('security_approval'); #1887=APPROVAL_ROLE('security_approval'); #1888=APPROVAL_ROLE('security_approval'); #1889=APPROVAL_ROLE('security_approval'); #1890=APPROVAL_ROLE('security_approval'); #1891=APPROVAL_ROLE('security_approval'); #1892=APPROVAL_ROLE('security_approval'); #1893=APPROVAL_ROLE('security_approval'); #1894=APPROVAL_ROLE('security_approval'); #1895=APPROVAL_ROLE('security_approval'); #1896=APPROVAL_ROLE('security_approval'); #1897=APPROVAL_ROLE('security_approval'); #1898=APPROVAL_ROLE('security_approval'); #1899=APPROVAL_ROLE('security_approval'); #1900=APPROVAL_ROLE('security_approval'); #1901=APPROVAL_ROLE('security_approval'); #1902=APPROVAL_ROLE('security_approval'); #1903=APPROVAL_ROLE('security_approval'); #1904=APPROVAL_ROLE('security_approval'); #1905=APPROVAL_ROLE('security_approval'); #1906=APPROVAL_ROLE('security_approval'); #1907=APPROVAL_ROLE('security_approval'); #1908=APPROVAL_ROLE('security_approval'); #1909=APPROVAL_ROLE('security_approval'); #1910=APPROVAL_ROLE('security_approval'); #1911=APPROVAL_ROLE('security_approval'); #1912=APPROVAL_ROLE('security_approval'); #1913=APPROVAL_ROLE('security_approval'); #1914=APPROVAL_ROLE('security_approval'); #1915=APPROVAL_ROLE('security_approval'); #1916=APPROVAL_ROLE('security_approval'); #1917=APPROVAL_ROLE('security_approval'); #1918=APPROVAL_ROLE('security_approval'); #1919=APPROVAL_ROLE('security_approval'); #1920=APPROVAL_ROLE('security_approval'); #1921=APPROVAL_ROLE('security_approval'); #1922=APPROVAL_ROLE('security_approval'); #1923=APPROVAL_DATE_TIME(#2644,#2955); #1924=APPROVAL_DATE_TIME(#2646,#2956); #1925=APPROVAL_DATE_TIME(#2647,#2957); #1926=APPROVAL_DATE_TIME(#2649,#2958); #1927=APPROVAL_DATE_TIME(#2651,#2959); #1928=APPROVAL_DATE_TIME(#2652,#2960); #1929=APPROVAL_DATE_TIME(#2654,#2961); #1930=APPROVAL_DATE_TIME(#2656,#2962); #1931=APPROVAL_DATE_TIME(#2657,#2963); #1932=APPROVAL_DATE_TIME(#2659,#2964); #1933=APPROVAL_DATE_TIME(#2661,#2965); #1934=APPROVAL_DATE_TIME(#2663,#2966); #1935=APPROVAL_DATE_TIME(#2665,#2967); #1936=APPROVAL_DATE_TIME(#2667,#2968); #1937=APPROVAL_DATE_TIME(#2669,#2969); #1938=APPROVAL_DATE_TIME(#2671,#2970); #1939=APPROVAL_DATE_TIME(#2672,#2971); #1940=APPROVAL_DATE_TIME(#2674,#2972); #1941=APPROVAL_DATE_TIME(#2676,#2973); #1942=APPROVAL_DATE_TIME(#2677,#2974); #1943=APPROVAL_DATE_TIME(#2679,#2975); #1944=APPROVAL_DATE_TIME(#2681,#2976); #1945=APPROVAL_DATE_TIME(#2682,#2977); #1946=APPROVAL_DATE_TIME(#2684,#2978); #1947=APPROVAL_DATE_TIME(#2686,#2979); #1948=APPROVAL_DATE_TIME(#2687,#2980); #1949=APPROVAL_DATE_TIME(#2689,#2981); #1950=APPROVAL_DATE_TIME(#2691,#2982); #1951=APPROVAL_DATE_TIME(#2693,#2983); #1952=APPROVAL_DATE_TIME(#2695,#2984); #1953=APPROVAL_DATE_TIME(#2697,#2985); #1954=APPROVAL_DATE_TIME(#2699,#2986); #1955=APPROVAL_DATE_TIME(#2701,#2987); #1956=APPROVAL_DATE_TIME(#2703,#2988); #1957=APPROVAL_DATE_TIME(#2705,#2989); #1958=APPROVAL_DATE_TIME(#2707,#2990); #1959=APPROVAL_DATE_TIME(#2709,#2991); #1960=APPROVAL_DATE_TIME(#2711,#2992); #1961=APPROVAL_DATE_TIME(#2713,#2993); #1962=APPROVAL_DATE_TIME(#2715,#2994); #1963=APPROVAL_DATE_TIME(#2717,#2995); #1964=APPROVAL_DATE_TIME(#2719,#2996); #1965=APPROVAL_DATE_TIME(#2721,#2997); #1966=APPROVAL_DATE_TIME(#2723,#2998); #1967=APPROVAL_DATE_TIME(#2725,#2999); #1968=APPROVAL_DATE_TIME(#2727,#3000); #1969=APPROVAL_DATE_TIME(#2729,#3001); #1970=APPROVAL_DATE_TIME(#2731,#3002); #1971=APPROVAL_DATE_TIME(#2733,#3003); #1972=APPROVAL_DATE_TIME(#2735,#3004); #1973=APPROVAL_DATE_TIME(#2737,#3005); #1974=APPROVAL_DATE_TIME(#2739,#3006); #1975=APPROVAL_DATE_TIME(#2741,#3007); #1976=APPROVAL_DATE_TIME(#2743,#3008); #1977=APPROVAL_DATE_TIME(#2745,#3009); #1978=APPROVAL_DATE_TIME(#2747,#3010); #1979=APPROVAL_DATE_TIME(#2749,#3011); #1980=APPROVAL_DATE_TIME(#2751,#3012); #1981=APPROVAL_DATE_TIME(#2753,#3013); #1982=APPROVAL_DATE_TIME(#2755,#3014); #1983=APPROVAL_DATE_TIME(#2757,#3015); #1984=APPROVAL_DATE_TIME(#2759,#3016); #1985=APPROVAL_DATE_TIME(#2761,#3017); #1986=APPROVAL_DATE_TIME(#2763,#3018); #1987=APPROVAL_DATE_TIME(#2765,#3019); #1988=APPROVAL_DATE_TIME(#2767,#3020); #1989=APPROVAL_DATE_TIME(#2769,#3021); #1990=APPROVAL_DATE_TIME(#2771,#3022); #1991=APPROVAL_DATE_TIME(#2773,#3023); #1992=APPROVAL_DATE_TIME(#2775,#3024); #1993=APPROVAL_DATE_TIME(#2777,#3025); #1994=APPROVAL_DATE_TIME(#2779,#3026); #1995=APPROVAL_DATE_TIME(#2781,#3027); #1996=APPROVAL_DATE_TIME(#2783,#3028); #1997=APPROVAL_DATE_TIME(#2785,#3029); #1998=APPROVAL_DATE_TIME(#2787,#3030); #1999=APPROVAL_DATE_TIME(#2789,#3031); #2000=APPROVAL_DATE_TIME(#2791,#3032); #2001=APPROVAL_DATE_TIME(#2793,#3033); #2002=APPROVAL_DATE_TIME(#2795,#3034); #2003=APPROVAL_DATE_TIME(#2797,#3035); #2004=APPROVAL_DATE_TIME(#2799,#3036); #2005=APPROVAL_DATE_TIME(#2801,#3037); #2006=APPROVAL_DATE_TIME(#2803,#3038); #2007=APPROVAL_DATE_TIME(#2805,#3039); #2008=APPROVAL_DATE_TIME(#2807,#3040); #2009=APPROVAL_DATE_TIME(#2809,#3041); #2010=APPROVAL_DATE_TIME(#2811,#3042); #2011=APPROVAL_DATE_TIME(#2813,#3043); #2012=APPROVAL_DATE_TIME(#2815,#3044); #2013=APPROVAL_DATE_TIME(#2817,#3045); #2014=APPROVAL_DATE_TIME(#2819,#3046); #2015=APPROVAL_DATE_TIME(#2821,#3047); #2016=APPROVAL_DATE_TIME(#2823,#3048); #2017=APPROVAL_DATE_TIME(#2825,#3049); #2018=APPROVAL_DATE_TIME(#2827,#3050); #2019=APPROVAL_DATE_TIME(#2829,#3051); #2020=APPROVAL_DATE_TIME(#2831,#3052); #2021=APPROVAL_DATE_TIME(#2833,#3053); #2022=APPROVAL_DATE_TIME(#2835,#3054); #2023=APPROVAL_DATE_TIME(#2837,#3055); #2024=APPROVAL_DATE_TIME(#2839,#3056); #2025=APPROVAL_DATE_TIME(#2841,#3057); #2026=APPROVAL_DATE_TIME(#2843,#3058); #2027=APPROVAL_DATE_TIME(#2845,#3059); #2028=APPROVAL_DATE_TIME(#2847,#3060); #2029=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2030=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2031=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2032=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2033=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2034=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2035=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2036=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2037=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2038=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2039=COORDINATED_UNIVERSAL_TIME_OFFSET(8,0,.BEHIND.); #2040=COORDINATED_UNIVERSAL_TIME_OFFSET(8,0,.BEHIND.); #2041=COORDINATED_UNIVERSAL_TIME_OFFSET(8,0,.BEHIND.); #2042=COORDINATED_UNIVERSAL_TIME_OFFSET(8,0,.BEHIND.); #2043=COORDINATED_UNIVERSAL_TIME_OFFSET(8,0,.BEHIND.); #2044=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2045=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2046=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2047=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2048=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2049=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2050=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2051=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2052=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2053=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2054=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2055=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2056=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2057=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2058=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2059=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2060=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2061=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2062=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2063=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2064=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2065=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2066=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2067=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2068=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2069=COORDINATED_UNIVERSAL_TIME_OFFSET(8,0,.BEHIND.); #2070=COORDINATED_UNIVERSAL_TIME_OFFSET(8,0,.BEHIND.); #2071=COORDINATED_UNIVERSAL_TIME_OFFSET(8,0,.BEHIND.); #2072=COORDINATED_UNIVERSAL_TIME_OFFSET(8,0,.BEHIND.); #2073=COORDINATED_UNIVERSAL_TIME_OFFSET(8,0,.BEHIND.); #2074=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2075=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2076=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2077=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2078=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2079=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2080=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2081=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2082=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2083=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2084=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2085=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2086=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2087=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2088=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2089=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2090=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2091=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2092=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2093=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2094=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2095=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2096=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2097=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2098=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2099=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2100=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2101=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2102=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2103=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2104=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2105=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2106=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2107=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2108=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2109=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2110=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2111=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2112=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2113=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2114=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2115=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2116=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2117=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2118=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2119=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2120=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2121=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2122=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2123=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2124=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2125=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2126=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2127=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2128=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2129=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2130=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2131=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2132=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2133=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2134=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2135=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2136=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2137=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2138=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2139=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2140=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2141=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2142=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2143=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2144=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2145=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2146=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2147=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2148=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2149=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2150=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2151=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2152=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2153=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2154=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2155=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2156=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2157=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2158=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2159=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2160=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2161=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2162=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2163=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2164=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2165=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2166=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2167=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2168=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2169=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2170=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2171=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2172=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2173=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2174=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2175=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2176=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2177=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2178=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2179=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2180=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2181=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2182=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2183=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2184=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2185=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2186=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2187=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2188=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2189=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2190=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2191=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2192=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2193=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2194=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2195=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2196=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2197=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2198=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2199=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2200=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2201=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2202=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2203=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2204=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2205=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2206=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2207=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2208=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2209=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2210=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2211=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2212=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2213=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2214=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2215=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2216=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2217=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2218=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2219=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2220=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2221=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2222=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2223=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2224=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2225=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2226=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2227=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2228=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2229=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2230=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2231=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2232=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2233=COORDINATED_UNIVERSAL_TIME_OFFSET(6,0,.BEHIND.); #2234=LOCAL_TIME(6,50,5.,#2029); #2235=LOCAL_TIME(6,50,5.,#2030); #2236=LOCAL_TIME(6,50,5.,#2031); #2237=LOCAL_TIME(6,50,5.,#2032); #2238=LOCAL_TIME(6,50,5.,#2033); #2239=LOCAL_TIME(6,50,5.,#2034); #2240=LOCAL_TIME(6,50,5.,#2035); #2241=LOCAL_TIME(6,50,5.,#2036); #2242=LOCAL_TIME(6,50,5.,#2037); #2243=LOCAL_TIME(6,50,5.,#2038); #2244=LOCAL_TIME(20,49,20.,#2039); #2245=LOCAL_TIME(20,49,20.,#2040); #2246=LOCAL_TIME(20,49,20.,#2041); #2247=LOCAL_TIME(20,49,20.,#2042); #2248=LOCAL_TIME(20,49,20.,#2043); #2249=LOCAL_TIME(6,50,5.,#2044); #2250=LOCAL_TIME(6,50,5.,#2045); #2251=LOCAL_TIME(6,50,5.,#2046); #2252=LOCAL_TIME(6,50,5.,#2047); #2253=LOCAL_TIME(6,50,5.,#2048); #2254=LOCAL_TIME(6,50,5.,#2049); #2255=LOCAL_TIME(6,50,5.,#2050); #2256=LOCAL_TIME(6,50,5.,#2051); #2257=LOCAL_TIME(6,50,5.,#2052); #2258=LOCAL_TIME(6,50,5.,#2053); #2259=LOCAL_TIME(6,50,5.,#2054); #2260=LOCAL_TIME(6,50,5.,#2055); #2261=LOCAL_TIME(6,50,5.,#2056); #2262=LOCAL_TIME(6,50,5.,#2057); #2263=LOCAL_TIME(6,50,5.,#2058); #2264=LOCAL_TIME(6,50,5.,#2059); #2265=LOCAL_TIME(6,50,5.,#2060); #2266=LOCAL_TIME(6,50,5.,#2061); #2267=LOCAL_TIME(6,50,5.,#2062); #2268=LOCAL_TIME(6,50,5.,#2063); #2269=LOCAL_TIME(6,50,5.,#2064); #2270=LOCAL_TIME(6,50,5.,#2065); #2271=LOCAL_TIME(6,50,5.,#2066); #2272=LOCAL_TIME(6,50,5.,#2067); #2273=LOCAL_TIME(6,50,5.,#2068); #2274=LOCAL_TIME(18,12,12.,#2069); #2275=LOCAL_TIME(18,12,12.,#2070); #2276=LOCAL_TIME(18,12,12.,#2071); #2277=LOCAL_TIME(18,12,12.,#2072); #2278=LOCAL_TIME(18,12,12.,#2073); #2279=LOCAL_TIME(6,50,5.,#2074); #2280=LOCAL_TIME(6,50,5.,#2075); #2281=LOCAL_TIME(6,50,5.,#2076); #2282=LOCAL_TIME(6,50,5.,#2077); #2283=LOCAL_TIME(6,50,5.,#2078); #2284=LOCAL_TIME(6,50,5.,#2079); #2285=LOCAL_TIME(6,50,5.,#2080); #2286=LOCAL_TIME(6,50,5.,#2081); #2287=LOCAL_TIME(6,50,5.,#2082); #2288=LOCAL_TIME(6,50,5.,#2083); #2289=LOCAL_TIME(6,50,5.,#2084); #2290=LOCAL_TIME(6,50,5.,#2085); #2291=LOCAL_TIME(6,50,5.,#2086); #2292=LOCAL_TIME(6,50,5.,#2087); #2293=LOCAL_TIME(6,50,5.,#2088); #2294=LOCAL_TIME(6,50,5.,#2089); #2295=LOCAL_TIME(6,50,5.,#2090); #2296=LOCAL_TIME(6,50,5.,#2091); #2297=LOCAL_TIME(6,50,5.,#2092); #2298=LOCAL_TIME(6,50,5.,#2093); #2299=LOCAL_TIME(6,50,5.,#2094); #2300=LOCAL_TIME(6,50,5.,#2095); #2301=LOCAL_TIME(6,50,5.,#2096); #2302=LOCAL_TIME(6,50,5.,#2097); #2303=LOCAL_TIME(6,50,5.,#2098); #2304=LOCAL_TIME(6,50,5.,#2099); #2305=LOCAL_TIME(6,50,5.,#2100); #2306=LOCAL_TIME(6,50,5.,#2101); #2307=LOCAL_TIME(6,50,5.,#2102); #2308=LOCAL_TIME(6,50,5.,#2103); #2309=LOCAL_TIME(6,50,5.,#2104); #2310=LOCAL_TIME(6,50,5.,#2105); #2311=LOCAL_TIME(6,50,5.,#2106); #2312=LOCAL_TIME(6,50,5.,#2107); #2313=LOCAL_TIME(6,50,5.,#2108); #2314=LOCAL_TIME(6,50,5.,#2109); #2315=LOCAL_TIME(6,50,5.,#2110); #2316=LOCAL_TIME(6,50,5.,#2111); #2317=LOCAL_TIME(6,50,5.,#2112); #2318=LOCAL_TIME(6,50,5.,#2113); #2319=LOCAL_TIME(6,50,5.,#2114); #2320=LOCAL_TIME(6,50,5.,#2115); #2321=LOCAL_TIME(6,50,5.,#2116); #2322=LOCAL_TIME(6,50,5.,#2117); #2323=LOCAL_TIME(6,50,5.,#2118); #2324=LOCAL_TIME(6,50,5.,#2119); #2325=LOCAL_TIME(6,50,5.,#2120); #2326=LOCAL_TIME(6,50,5.,#2121); #2327=LOCAL_TIME(6,50,5.,#2122); #2328=LOCAL_TIME(6,50,5.,#2123); #2329=LOCAL_TIME(6,50,5.,#2124); #2330=LOCAL_TIME(6,50,5.,#2125); #2331=LOCAL_TIME(6,50,5.,#2126); #2332=LOCAL_TIME(6,50,5.,#2127); #2333=LOCAL_TIME(6,50,5.,#2128); #2334=LOCAL_TIME(6,50,5.,#2129); #2335=LOCAL_TIME(6,50,5.,#2130); #2336=LOCAL_TIME(6,50,5.,#2131); #2337=LOCAL_TIME(6,50,5.,#2132); #2338=LOCAL_TIME(6,50,5.,#2133); #2339=LOCAL_TIME(6,50,5.,#2134); #2340=LOCAL_TIME(6,50,5.,#2135); #2341=LOCAL_TIME(6,50,5.,#2136); #2342=LOCAL_TIME(6,50,5.,#2137); #2343=LOCAL_TIME(6,50,5.,#2138); #2344=LOCAL_TIME(6,50,5.,#2139); #2345=LOCAL_TIME(6,50,5.,#2140); #2346=LOCAL_TIME(6,50,5.,#2141); #2347=LOCAL_TIME(6,50,5.,#2142); #2348=LOCAL_TIME(6,50,5.,#2143); #2349=LOCAL_TIME(6,50,5.,#2144); #2350=LOCAL_TIME(6,50,5.,#2145); #2351=LOCAL_TIME(6,50,5.,#2146); #2352=LOCAL_TIME(6,50,5.,#2147); #2353=LOCAL_TIME(6,50,5.,#2148); #2354=LOCAL_TIME(6,50,5.,#2149); #2355=LOCAL_TIME(6,50,5.,#2150); #2356=LOCAL_TIME(6,50,5.,#2151); #2357=LOCAL_TIME(6,50,5.,#2152); #2358=LOCAL_TIME(6,50,5.,#2153); #2359=LOCAL_TIME(6,50,5.,#2154); #2360=LOCAL_TIME(6,50,5.,#2155); #2361=LOCAL_TIME(6,50,5.,#2156); #2362=LOCAL_TIME(6,50,5.,#2157); #2363=LOCAL_TIME(6,50,5.,#2158); #2364=LOCAL_TIME(6,50,5.,#2159); #2365=LOCAL_TIME(6,50,5.,#2160); #2366=LOCAL_TIME(6,50,5.,#2161); #2367=LOCAL_TIME(6,50,5.,#2162); #2368=LOCAL_TIME(6,50,5.,#2163); #2369=LOCAL_TIME(6,50,5.,#2164); #2370=LOCAL_TIME(6,50,5.,#2165); #2371=LOCAL_TIME(6,50,5.,#2166); #2372=LOCAL_TIME(6,50,5.,#2167); #2373=LOCAL_TIME(6,50,5.,#2168); #2374=LOCAL_TIME(6,50,5.,#2169); #2375=LOCAL_TIME(6,50,5.,#2170); #2376=LOCAL_TIME(6,50,5.,#2171); #2377=LOCAL_TIME(6,50,5.,#2172); #2378=LOCAL_TIME(6,50,5.,#2173); #2379=LOCAL_TIME(6,50,5.,#2174); #2380=LOCAL_TIME(6,50,5.,#2175); #2381=LOCAL_TIME(6,50,5.,#2176); #2382=LOCAL_TIME(6,50,5.,#2177); #2383=LOCAL_TIME(6,50,5.,#2178); #2384=LOCAL_TIME(6,50,5.,#2179); #2385=LOCAL_TIME(6,50,5.,#2180); #2386=LOCAL_TIME(6,50,5.,#2181); #2387=LOCAL_TIME(6,50,5.,#2182); #2388=LOCAL_TIME(6,50,5.,#2183); #2389=LOCAL_TIME(6,50,5.,#2184); #2390=LOCAL_TIME(6,50,5.,#2185); #2391=LOCAL_TIME(6,50,5.,#2186); #2392=LOCAL_TIME(6,50,5.,#2187); #2393=LOCAL_TIME(6,50,5.,#2188); #2394=LOCAL_TIME(6,50,5.,#2189); #2395=LOCAL_TIME(6,50,5.,#2190); #2396=LOCAL_TIME(6,50,5.,#2191); #2397=LOCAL_TIME(6,50,5.,#2192); #2398=LOCAL_TIME(6,50,5.,#2193); #2399=LOCAL_TIME(6,50,5.,#2194); #2400=LOCAL_TIME(6,50,5.,#2195); #2401=LOCAL_TIME(6,50,5.,#2196); #2402=LOCAL_TIME(6,50,5.,#2197); #2403=LOCAL_TIME(6,50,5.,#2198); #2404=LOCAL_TIME(6,50,5.,#2199); #2405=LOCAL_TIME(6,50,5.,#2200); #2406=LOCAL_TIME(6,50,5.,#2201); #2407=LOCAL_TIME(6,50,5.,#2202); #2408=LOCAL_TIME(6,50,5.,#2203); #2409=LOCAL_TIME(6,50,5.,#2204); #2410=LOCAL_TIME(6,50,5.,#2205); #2411=LOCAL_TIME(6,50,5.,#2206); #2412=LOCAL_TIME(6,50,5.,#2207); #2413=LOCAL_TIME(6,50,5.,#2208); #2414=LOCAL_TIME(6,50,5.,#2209); #2415=LOCAL_TIME(6,50,5.,#2210); #2416=LOCAL_TIME(6,50,5.,#2211); #2417=LOCAL_TIME(6,50,5.,#2212); #2418=LOCAL_TIME(6,50,5.,#2213); #2419=LOCAL_TIME(6,50,5.,#2214); #2420=LOCAL_TIME(6,50,5.,#2215); #2421=LOCAL_TIME(6,50,5.,#2216); #2422=LOCAL_TIME(6,50,5.,#2217); #2423=LOCAL_TIME(6,50,5.,#2218); #2424=LOCAL_TIME(6,50,5.,#2219); #2425=LOCAL_TIME(6,50,5.,#2220); #2426=LOCAL_TIME(6,50,5.,#2221); #2427=LOCAL_TIME(6,50,5.,#2222); #2428=LOCAL_TIME(6,50,5.,#2223); #2429=LOCAL_TIME(6,50,5.,#2224); #2430=LOCAL_TIME(6,50,5.,#2225); #2431=LOCAL_TIME(6,50,5.,#2226); #2432=LOCAL_TIME(6,50,5.,#2227); #2433=LOCAL_TIME(6,50,5.,#2228); #2434=LOCAL_TIME(6,50,5.,#2229); #2435=LOCAL_TIME(6,50,5.,#2230); #2436=LOCAL_TIME(6,50,5.,#2231); #2437=LOCAL_TIME(6,50,5.,#2232); #2438=LOCAL_TIME(6,50,5.,#2233); #2439=CALENDAR_DATE(2012,15,3); #2440=CALENDAR_DATE(2012,15,3); #2441=CALENDAR_DATE(2012,15,3); #2442=CALENDAR_DATE(2012,15,3); #2443=CALENDAR_DATE(2012,15,3); #2444=CALENDAR_DATE(2012,15,3); #2445=CALENDAR_DATE(2012,15,3); #2446=CALENDAR_DATE(2012,15,3); #2447=CALENDAR_DATE(2012,15,3); #2448=CALENDAR_DATE(2012,15,3); #2449=CALENDAR_DATE(2009,29,11); #2450=CALENDAR_DATE(2009,29,11); #2451=CALENDAR_DATE(2009,29,11); #2452=CALENDAR_DATE(2009,29,11); #2453=CALENDAR_DATE(2009,29,11); #2454=CALENDAR_DATE(2012,15,3); #2455=CALENDAR_DATE(2012,15,3); #2456=CALENDAR_DATE(2012,15,3); #2457=CALENDAR_DATE(2012,15,3); #2458=CALENDAR_DATE(2012,15,3); #2459=CALENDAR_DATE(2012,15,3); #2460=CALENDAR_DATE(2012,15,3); #2461=CALENDAR_DATE(2012,15,3); #2462=CALENDAR_DATE(2012,15,3); #2463=CALENDAR_DATE(2012,15,3); #2464=CALENDAR_DATE(2012,15,3); #2465=CALENDAR_DATE(2012,15,3); #2466=CALENDAR_DATE(2012,15,3); #2467=CALENDAR_DATE(2012,15,3); #2468=CALENDAR_DATE(2012,15,3); #2469=CALENDAR_DATE(2012,15,3); #2470=CALENDAR_DATE(2012,15,3); #2471=CALENDAR_DATE(2012,15,3); #2472=CALENDAR_DATE(2012,15,3); #2473=CALENDAR_DATE(2012,15,3); #2474=CALENDAR_DATE(2012,15,3); #2475=CALENDAR_DATE(2012,15,3); #2476=CALENDAR_DATE(2012,15,3); #2477=CALENDAR_DATE(2012,15,3); #2478=CALENDAR_DATE(2012,15,3); #2479=CALENDAR_DATE(2009,30,11); #2480=CALENDAR_DATE(2009,30,11); #2481=CALENDAR_DATE(2009,30,11); #2482=CALENDAR_DATE(2009,30,11); #2483=CALENDAR_DATE(2009,30,11); #2484=CALENDAR_DATE(2012,15,3); #2485=CALENDAR_DATE(2012,15,3); #2486=CALENDAR_DATE(2012,15,3); #2487=CALENDAR_DATE(2012,15,3); #2488=CALENDAR_DATE(2012,15,3); #2489=CALENDAR_DATE(2012,15,3); #2490=CALENDAR_DATE(2012,15,3); #2491=CALENDAR_DATE(2012,15,3); #2492=CALENDAR_DATE(2012,15,3); #2493=CALENDAR_DATE(2012,15,3); #2494=CALENDAR_DATE(2012,15,3); #2495=CALENDAR_DATE(2012,15,3); #2496=CALENDAR_DATE(2012,15,3); #2497=CALENDAR_DATE(2012,15,3); #2498=CALENDAR_DATE(2012,15,3); #2499=CALENDAR_DATE(2012,15,3); #2500=CALENDAR_DATE(2012,15,3); #2501=CALENDAR_DATE(2012,15,3); #2502=CALENDAR_DATE(2012,15,3); #2503=CALENDAR_DATE(2012,15,3); #2504=CALENDAR_DATE(2012,15,3); #2505=CALENDAR_DATE(2012,15,3); #2506=CALENDAR_DATE(2012,15,3); #2507=CALENDAR_DATE(2012,15,3); #2508=CALENDAR_DATE(2012,15,3); #2509=CALENDAR_DATE(2012,15,3); #2510=CALENDAR_DATE(2012,15,3); #2511=CALENDAR_DATE(2012,15,3); #2512=CALENDAR_DATE(2012,15,3); #2513=CALENDAR_DATE(2012,15,3); #2514=CALENDAR_DATE(2012,15,3); #2515=CALENDAR_DATE(2012,15,3); #2516=CALENDAR_DATE(2012,15,3); #2517=CALENDAR_DATE(2012,15,3); #2518=CALENDAR_DATE(2012,15,3); #2519=CALENDAR_DATE(2012,15,3); #2520=CALENDAR_DATE(2012,15,3); #2521=CALENDAR_DATE(2012,15,3); #2522=CALENDAR_DATE(2012,15,3); #2523=CALENDAR_DATE(2012,15,3); #2524=CALENDAR_DATE(2012,15,3); #2525=CALENDAR_DATE(2012,15,3); #2526=CALENDAR_DATE(2012,15,3); #2527=CALENDAR_DATE(2012,15,3); #2528=CALENDAR_DATE(2012,15,3); #2529=CALENDAR_DATE(2012,15,3); #2530=CALENDAR_DATE(2012,15,3); #2531=CALENDAR_DATE(2012,15,3); #2532=CALENDAR_DATE(2012,15,3); #2533=CALENDAR_DATE(2012,15,3); #2534=CALENDAR_DATE(2012,15,3); #2535=CALENDAR_DATE(2012,15,3); #2536=CALENDAR_DATE(2012,15,3); #2537=CALENDAR_DATE(2012,15,3); #2538=CALENDAR_DATE(2012,15,3); #2539=CALENDAR_DATE(2012,15,3); #2540=CALENDAR_DATE(2012,15,3); #2541=CALENDAR_DATE(2012,15,3); #2542=CALENDAR_DATE(2012,15,3); #2543=CALENDAR_DATE(2012,15,3); #2544=CALENDAR_DATE(2012,15,3); #2545=CALENDAR_DATE(2012,15,3); #2546=CALENDAR_DATE(2012,15,3); #2547=CALENDAR_DATE(2012,15,3); #2548=CALENDAR_DATE(2012,15,3); #2549=CALENDAR_DATE(2012,15,3); #2550=CALENDAR_DATE(2012,15,3); #2551=CALENDAR_DATE(2012,15,3); #2552=CALENDAR_DATE(2012,15,3); #2553=CALENDAR_DATE(2012,15,3); #2554=CALENDAR_DATE(2012,15,3); #2555=CALENDAR_DATE(2012,15,3); #2556=CALENDAR_DATE(2012,15,3); #2557=CALENDAR_DATE(2012,15,3); #2558=CALENDAR_DATE(2012,15,3); #2559=CALENDAR_DATE(2012,15,3); #2560=CALENDAR_DATE(2012,15,3); #2561=CALENDAR_DATE(2012,15,3); #2562=CALENDAR_DATE(2012,15,3); #2563=CALENDAR_DATE(2012,15,3); #2564=CALENDAR_DATE(2012,15,3); #2565=CALENDAR_DATE(2012,15,3); #2566=CALENDAR_DATE(2012,15,3); #2567=CALENDAR_DATE(2012,15,3); #2568=CALENDAR_DATE(2012,15,3); #2569=CALENDAR_DATE(2012,15,3); #2570=CALENDAR_DATE(2012,15,3); #2571=CALENDAR_DATE(2012,15,3); #2572=CALENDAR_DATE(2012,15,3); #2573=CALENDAR_DATE(2012,15,3); #2574=CALENDAR_DATE(2012,15,3); #2575=CALENDAR_DATE(2012,15,3); #2576=CALENDAR_DATE(2012,15,3); #2577=CALENDAR_DATE(2012,15,3); #2578=CALENDAR_DATE(2012,15,3); #2579=CALENDAR_DATE(2012,15,3); #2580=CALENDAR_DATE(2012,15,3); #2581=CALENDAR_DATE(2012,15,3); #2582=CALENDAR_DATE(2012,15,3); #2583=CALENDAR_DATE(2012,15,3); #2584=CALENDAR_DATE(2012,15,3); #2585=CALENDAR_DATE(2012,15,3); #2586=CALENDAR_DATE(2012,15,3); #2587=CALENDAR_DATE(2012,15,3); #2588=CALENDAR_DATE(2012,15,3); #2589=CALENDAR_DATE(2012,15,3); #2590=CALENDAR_DATE(2012,15,3); #2591=CALENDAR_DATE(2012,15,3); #2592=CALENDAR_DATE(2012,15,3); #2593=CALENDAR_DATE(2012,15,3); #2594=CALENDAR_DATE(2012,15,3); #2595=CALENDAR_DATE(2012,15,3); #2596=CALENDAR_DATE(2012,15,3); #2597=CALENDAR_DATE(2012,15,3); #2598=CALENDAR_DATE(2012,15,3); #2599=CALENDAR_DATE(2012,15,3); #2600=CALENDAR_DATE(2012,15,3); #2601=CALENDAR_DATE(2012,15,3); #2602=CALENDAR_DATE(2012,15,3); #2603=CALENDAR_DATE(2012,15,3); #2604=CALENDAR_DATE(2012,15,3); #2605=CALENDAR_DATE(2012,15,3); #2606=CALENDAR_DATE(2012,15,3); #2607=CALENDAR_DATE(2012,15,3); #2608=CALENDAR_DATE(2012,15,3); #2609=CALENDAR_DATE(2012,15,3); #2610=CALENDAR_DATE(2012,15,3); #2611=CALENDAR_DATE(2012,15,3); #2612=CALENDAR_DATE(2012,15,3); #2613=CALENDAR_DATE(2012,15,3); #2614=CALENDAR_DATE(2012,15,3); #2615=CALENDAR_DATE(2012,15,3); #2616=CALENDAR_DATE(2012,15,3); #2617=CALENDAR_DATE(2012,15,3); #2618=CALENDAR_DATE(2012,15,3); #2619=CALENDAR_DATE(2012,15,3); #2620=CALENDAR_DATE(2012,15,3); #2621=CALENDAR_DATE(2012,15,3); #2622=CALENDAR_DATE(2012,15,3); #2623=CALENDAR_DATE(2012,15,3); #2624=CALENDAR_DATE(2012,15,3); #2625=CALENDAR_DATE(2012,15,3); #2626=CALENDAR_DATE(2012,15,3); #2627=CALENDAR_DATE(2012,15,3); #2628=CALENDAR_DATE(2012,15,3); #2629=CALENDAR_DATE(2012,15,3); #2630=CALENDAR_DATE(2012,15,3); #2631=CALENDAR_DATE(2012,15,3); #2632=CALENDAR_DATE(2012,15,3); #2633=CALENDAR_DATE(2012,15,3); #2634=CALENDAR_DATE(2012,15,3); #2635=CALENDAR_DATE(2012,15,3); #2636=CALENDAR_DATE(2012,15,3); #2637=CALENDAR_DATE(2012,15,3); #2638=CALENDAR_DATE(2012,15,3); #2639=CALENDAR_DATE(2012,15,3); #2640=CALENDAR_DATE(2012,15,3); #2641=CALENDAR_DATE(2012,15,3); #2642=CALENDAR_DATE(2012,15,3); #2643=CALENDAR_DATE(2012,15,3); #2644=DATE_AND_TIME(#2439,#2234); #2645=DATE_AND_TIME(#2440,#2235); #2646=DATE_AND_TIME(#2441,#2236); #2647=DATE_AND_TIME(#2442,#2237); #2648=DATE_AND_TIME(#2443,#2238); #2649=DATE_AND_TIME(#2444,#2239); #2650=DATE_AND_TIME(#2445,#2240); #2651=DATE_AND_TIME(#2446,#2241); #2652=DATE_AND_TIME(#2447,#2242); #2653=DATE_AND_TIME(#2448,#2243); #2654=DATE_AND_TIME(#2449,#2244); #2655=DATE_AND_TIME(#2450,#2245); #2656=DATE_AND_TIME(#2451,#2246); #2657=DATE_AND_TIME(#2452,#2247); #2658=DATE_AND_TIME(#2453,#2248); #2659=DATE_AND_TIME(#2454,#2249); #2660=DATE_AND_TIME(#2455,#2250); #2661=DATE_AND_TIME(#2456,#2251); #2662=DATE_AND_TIME(#2457,#2252); #2663=DATE_AND_TIME(#2458,#2253); #2664=DATE_AND_TIME(#2459,#2254); #2665=DATE_AND_TIME(#2460,#2255); #2666=DATE_AND_TIME(#2461,#2256); #2667=DATE_AND_TIME(#2462,#2257); #2668=DATE_AND_TIME(#2463,#2258); #2669=DATE_AND_TIME(#2464,#2259); #2670=DATE_AND_TIME(#2465,#2260); #2671=DATE_AND_TIME(#2466,#2261); #2672=DATE_AND_TIME(#2467,#2262); #2673=DATE_AND_TIME(#2468,#2263); #2674=DATE_AND_TIME(#2469,#2264); #2675=DATE_AND_TIME(#2470,#2265); #2676=DATE_AND_TIME(#2471,#2266); #2677=DATE_AND_TIME(#2472,#2267); #2678=DATE_AND_TIME(#2473,#2268); #2679=DATE_AND_TIME(#2474,#2269); #2680=DATE_AND_TIME(#2475,#2270); #2681=DATE_AND_TIME(#2476,#2271); #2682=DATE_AND_TIME(#2477,#2272); #2683=DATE_AND_TIME(#2478,#2273); #2684=DATE_AND_TIME(#2479,#2274); #2685=DATE_AND_TIME(#2480,#2275); #2686=DATE_AND_TIME(#2481,#2276); #2687=DATE_AND_TIME(#2482,#2277); #2688=DATE_AND_TIME(#2483,#2278); #2689=DATE_AND_TIME(#2484,#2279); #2690=DATE_AND_TIME(#2485,#2280); #2691=DATE_AND_TIME(#2486,#2281); #2692=DATE_AND_TIME(#2487,#2282); #2693=DATE_AND_TIME(#2488,#2283); #2694=DATE_AND_TIME(#2489,#2284); #2695=DATE_AND_TIME(#2490,#2285); #2696=DATE_AND_TIME(#2491,#2286); #2697=DATE_AND_TIME(#2492,#2287); #2698=DATE_AND_TIME(#2493,#2288); #2699=DATE_AND_TIME(#2494,#2289); #2700=DATE_AND_TIME(#2495,#2290); #2701=DATE_AND_TIME(#2496,#2291); #2702=DATE_AND_TIME(#2497,#2292); #2703=DATE_AND_TIME(#2498,#2293); #2704=DATE_AND_TIME(#2499,#2294); #2705=DATE_AND_TIME(#2500,#2295); #2706=DATE_AND_TIME(#2501,#2296); #2707=DATE_AND_TIME(#2502,#2297); #2708=DATE_AND_TIME(#2503,#2298); #2709=DATE_AND_TIME(#2504,#2299); #2710=DATE_AND_TIME(#2505,#2300); #2711=DATE_AND_TIME(#2506,#2301); #2712=DATE_AND_TIME(#2507,#2302); #2713=DATE_AND_TIME(#2508,#2303); #2714=DATE_AND_TIME(#2509,#2304); #2715=DATE_AND_TIME(#2510,#2305); #2716=DATE_AND_TIME(#2511,#2306); #2717=DATE_AND_TIME(#2512,#2307); #2718=DATE_AND_TIME(#2513,#2308); #2719=DATE_AND_TIME(#2514,#2309); #2720=DATE_AND_TIME(#2515,#2310); #2721=DATE_AND_TIME(#2516,#2311); #2722=DATE_AND_TIME(#2517,#2312); #2723=DATE_AND_TIME(#2518,#2313); #2724=DATE_AND_TIME(#2519,#2314); #2725=DATE_AND_TIME(#2520,#2315); #2726=DATE_AND_TIME(#2521,#2316); #2727=DATE_AND_TIME(#2522,#2317); #2728=DATE_AND_TIME(#2523,#2318); #2729=DATE_AND_TIME(#2524,#2319); #2730=DATE_AND_TIME(#2525,#2320); #2731=DATE_AND_TIME(#2526,#2321); #2732=DATE_AND_TIME(#2527,#2322); #2733=DATE_AND_TIME(#2528,#2323); #2734=DATE_AND_TIME(#2529,#2324); #2735=DATE_AND_TIME(#2530,#2325); #2736=DATE_AND_TIME(#2531,#2326); #2737=DATE_AND_TIME(#2532,#2327); #2738=DATE_AND_TIME(#2533,#2328); #2739=DATE_AND_TIME(#2534,#2329); #2740=DATE_AND_TIME(#2535,#2330); #2741=DATE_AND_TIME(#2536,#2331); #2742=DATE_AND_TIME(#2537,#2332); #2743=DATE_AND_TIME(#2538,#2333); #2744=DATE_AND_TIME(#2539,#2334); #2745=DATE_AND_TIME(#2540,#2335); #2746=DATE_AND_TIME(#2541,#2336); #2747=DATE_AND_TIME(#2542,#2337); #2748=DATE_AND_TIME(#2543,#2338); #2749=DATE_AND_TIME(#2544,#2339); #2750=DATE_AND_TIME(#2545,#2340); #2751=DATE_AND_TIME(#2546,#2341); #2752=DATE_AND_TIME(#2547,#2342); #2753=DATE_AND_TIME(#2548,#2343); #2754=DATE_AND_TIME(#2549,#2344); #2755=DATE_AND_TIME(#2550,#2345); #2756=DATE_AND_TIME(#2551,#2346); #2757=DATE_AND_TIME(#2552,#2347); #2758=DATE_AND_TIME(#2553,#2348); #2759=DATE_AND_TIME(#2554,#2349); #2760=DATE_AND_TIME(#2555,#2350); #2761=DATE_AND_TIME(#2556,#2351); #2762=DATE_AND_TIME(#2557,#2352); #2763=DATE_AND_TIME(#2558,#2353); #2764=DATE_AND_TIME(#2559,#2354); #2765=DATE_AND_TIME(#2560,#2355); #2766=DATE_AND_TIME(#2561,#2356); #2767=DATE_AND_TIME(#2562,#2357); #2768=DATE_AND_TIME(#2563,#2358); #2769=DATE_AND_TIME(#2564,#2359); #2770=DATE_AND_TIME(#2565,#2360); #2771=DATE_AND_TIME(#2566,#2361); #2772=DATE_AND_TIME(#2567,#2362); #2773=DATE_AND_TIME(#2568,#2363); #2774=DATE_AND_TIME(#2569,#2364); #2775=DATE_AND_TIME(#2570,#2365); #2776=DATE_AND_TIME(#2571,#2366); #2777=DATE_AND_TIME(#2572,#2367); #2778=DATE_AND_TIME(#2573,#2368); #2779=DATE_AND_TIME(#2574,#2369); #2780=DATE_AND_TIME(#2575,#2370); #2781=DATE_AND_TIME(#2576,#2371); #2782=DATE_AND_TIME(#2577,#2372); #2783=DATE_AND_TIME(#2578,#2373); #2784=DATE_AND_TIME(#2579,#2374); #2785=DATE_AND_TIME(#2580,#2375); #2786=DATE_AND_TIME(#2581,#2376); #2787=DATE_AND_TIME(#2582,#2377); #2788=DATE_AND_TIME(#2583,#2378); #2789=DATE_AND_TIME(#2584,#2379); #2790=DATE_AND_TIME(#2585,#2380); #2791=DATE_AND_TIME(#2586,#2381); #2792=DATE_AND_TIME(#2587,#2382); #2793=DATE_AND_TIME(#2588,#2383); #2794=DATE_AND_TIME(#2589,#2384); #2795=DATE_AND_TIME(#2590,#2385); #2796=DATE_AND_TIME(#2591,#2386); #2797=DATE_AND_TIME(#2592,#2387); #2798=DATE_AND_TIME(#2593,#2388); #2799=DATE_AND_TIME(#2594,#2389); #2800=DATE_AND_TIME(#2595,#2390); #2801=DATE_AND_TIME(#2596,#2391); #2802=DATE_AND_TIME(#2597,#2392); #2803=DATE_AND_TIME(#2598,#2393); #2804=DATE_AND_TIME(#2599,#2394); #2805=DATE_AND_TIME(#2600,#2395); #2806=DATE_AND_TIME(#2601,#2396); #2807=DATE_AND_TIME(#2602,#2397); #2808=DATE_AND_TIME(#2603,#2398); #2809=DATE_AND_TIME(#2604,#2399); #2810=DATE_AND_TIME(#2605,#2400); #2811=DATE_AND_TIME(#2606,#2401); #2812=DATE_AND_TIME(#2607,#2402); #2813=DATE_AND_TIME(#2608,#2403); #2814=DATE_AND_TIME(#2609,#2404); #2815=DATE_AND_TIME(#2610,#2405); #2816=DATE_AND_TIME(#2611,#2406); #2817=DATE_AND_TIME(#2612,#2407); #2818=DATE_AND_TIME(#2613,#2408); #2819=DATE_AND_TIME(#2614,#2409); #2820=DATE_AND_TIME(#2615,#2410); #2821=DATE_AND_TIME(#2616,#2411); #2822=DATE_AND_TIME(#2617,#2412); #2823=DATE_AND_TIME(#2618,#2413); #2824=DATE_AND_TIME(#2619,#2414); #2825=DATE_AND_TIME(#2620,#2415); #2826=DATE_AND_TIME(#2621,#2416); #2827=DATE_AND_TIME(#2622,#2417); #2828=DATE_AND_TIME(#2623,#2418); #2829=DATE_AND_TIME(#2624,#2419); #2830=DATE_AND_TIME(#2625,#2420); #2831=DATE_AND_TIME(#2626,#2421); #2832=DATE_AND_TIME(#2627,#2422); #2833=DATE_AND_TIME(#2628,#2423); #2834=DATE_AND_TIME(#2629,#2424); #2835=DATE_AND_TIME(#2630,#2425); #2836=DATE_AND_TIME(#2631,#2426); #2837=DATE_AND_TIME(#2632,#2427); #2838=DATE_AND_TIME(#2633,#2428); #2839=DATE_AND_TIME(#2634,#2429); #2840=DATE_AND_TIME(#2635,#2430); #2841=DATE_AND_TIME(#2636,#2431); #2842=DATE_AND_TIME(#2637,#2432); #2843=DATE_AND_TIME(#2638,#2433); #2844=DATE_AND_TIME(#2639,#2434); #2845=DATE_AND_TIME(#2640,#2435); #2846=DATE_AND_TIME(#2641,#2436); #2847=DATE_AND_TIME(#2642,#2437); #2848=DATE_AND_TIME(#2643,#2438); #2849=APPROVAL_STATUS('not_yet_approved'); #2850=APPROVAL_STATUS('not_yet_approved'); #2851=APPROVAL_STATUS('not_yet_approved'); #2852=APPROVAL_STATUS('not_yet_approved'); #2853=APPROVAL_STATUS('not_yet_approved'); #2854=APPROVAL_STATUS('not_yet_approved'); #2855=APPROVAL_STATUS('not_yet_approved'); #2856=APPROVAL_STATUS('not_yet_approved'); #2857=APPROVAL_STATUS('not_yet_approved'); #2858=APPROVAL_STATUS('not_yet_approved'); #2859=APPROVAL_STATUS('not_yet_approved'); #2860=APPROVAL_STATUS('not_yet_approved'); #2861=APPROVAL_STATUS('not_yet_approved'); #2862=APPROVAL_STATUS('not_yet_approved'); #2863=APPROVAL_STATUS('not_yet_approved'); #2864=APPROVAL_STATUS('not_yet_approved'); #2865=APPROVAL_STATUS('not_yet_approved'); #2866=APPROVAL_STATUS('not_yet_approved'); #2867=APPROVAL_STATUS('not_yet_approved'); #2868=APPROVAL_STATUS('not_yet_approved'); #2869=APPROVAL_STATUS('not_yet_approved'); #2870=APPROVAL_STATUS('not_yet_approved'); #2871=APPROVAL_STATUS('not_yet_approved'); #2872=APPROVAL_STATUS('not_yet_approved'); #2873=APPROVAL_STATUS('not_yet_approved'); #2874=APPROVAL_STATUS('not_yet_approved'); #2875=APPROVAL_STATUS('not_yet_approved'); #2876=APPROVAL_STATUS('not_yet_approved'); #2877=APPROVAL_STATUS('not_yet_approved'); #2878=APPROVAL_STATUS('not_yet_approved'); #2879=APPROVAL_STATUS('not_yet_approved'); #2880=APPROVAL_STATUS('not_yet_approved'); #2881=APPROVAL_STATUS('not_yet_approved'); #2882=APPROVAL_STATUS('not_yet_approved'); #2883=APPROVAL_STATUS('not_yet_approved'); #2884=APPROVAL_STATUS('not_yet_approved'); #2885=APPROVAL_STATUS('not_yet_approved'); #2886=APPROVAL_STATUS('not_yet_approved'); #2887=APPROVAL_STATUS('not_yet_approved'); #2888=APPROVAL_STATUS('not_yet_approved'); #2889=APPROVAL_STATUS('not_yet_approved'); #2890=APPROVAL_STATUS('not_yet_approved'); #2891=APPROVAL_STATUS('not_yet_approved'); #2892=APPROVAL_STATUS('not_yet_approved'); #2893=APPROVAL_STATUS('not_yet_approved'); #2894=APPROVAL_STATUS('not_yet_approved'); #2895=APPROVAL_STATUS('not_yet_approved'); #2896=APPROVAL_STATUS('not_yet_approved'); #2897=APPROVAL_STATUS('not_yet_approved'); #2898=APPROVAL_STATUS('not_yet_approved'); #2899=APPROVAL_STATUS('not_yet_approved'); #2900=APPROVAL_STATUS('not_yet_approved'); #2901=APPROVAL_STATUS('not_yet_approved'); #2902=APPROVAL_STATUS('not_yet_approved'); #2903=APPROVAL_STATUS('not_yet_approved'); #2904=APPROVAL_STATUS('not_yet_approved'); #2905=APPROVAL_STATUS('not_yet_approved'); #2906=APPROVAL_STATUS('not_yet_approved'); #2907=APPROVAL_STATUS('not_yet_approved'); #2908=APPROVAL_STATUS('not_yet_approved'); #2909=APPROVAL_STATUS('not_yet_approved'); #2910=APPROVAL_STATUS('not_yet_approved'); #2911=APPROVAL_STATUS('not_yet_approved'); #2912=APPROVAL_STATUS('not_yet_approved'); #2913=APPROVAL_STATUS('not_yet_approved'); #2914=APPROVAL_STATUS('not_yet_approved'); #2915=APPROVAL_STATUS('not_yet_approved'); #2916=APPROVAL_STATUS('not_yet_approved'); #2917=APPROVAL_STATUS('not_yet_approved'); #2918=APPROVAL_STATUS('not_yet_approved'); #2919=APPROVAL_STATUS('not_yet_approved'); #2920=APPROVAL_STATUS('not_yet_approved'); #2921=APPROVAL_STATUS('not_yet_approved'); #2922=APPROVAL_STATUS('not_yet_approved'); #2923=APPROVAL_STATUS('not_yet_approved'); #2924=APPROVAL_STATUS('not_yet_approved'); #2925=APPROVAL_STATUS('not_yet_approved'); #2926=APPROVAL_STATUS('not_yet_approved'); #2927=APPROVAL_STATUS('not_yet_approved'); #2928=APPROVAL_STATUS('not_yet_approved'); #2929=APPROVAL_STATUS('not_yet_approved'); #2930=APPROVAL_STATUS('not_yet_approved'); #2931=APPROVAL_STATUS('not_yet_approved'); #2932=APPROVAL_STATUS('not_yet_approved'); #2933=APPROVAL_STATUS('not_yet_approved'); #2934=APPROVAL_STATUS('not_yet_approved'); #2935=APPROVAL_STATUS('not_yet_approved'); #2936=APPROVAL_STATUS('not_yet_approved'); #2937=APPROVAL_STATUS('not_yet_approved'); #2938=APPROVAL_STATUS('not_yet_approved'); #2939=APPROVAL_STATUS('not_yet_approved'); #2940=APPROVAL_STATUS('not_yet_approved'); #2941=APPROVAL_STATUS('not_yet_approved'); #2942=APPROVAL_STATUS('not_yet_approved'); #2943=APPROVAL_STATUS('not_yet_approved'); #2944=APPROVAL_STATUS('not_yet_approved'); #2945=APPROVAL_STATUS('not_yet_approved'); #2946=APPROVAL_STATUS('not_yet_approved'); #2947=APPROVAL_STATUS('not_yet_approved'); #2948=APPROVAL_STATUS('not_yet_approved'); #2949=APPROVAL_STATUS('not_yet_approved'); #2950=APPROVAL_STATUS('not_yet_approved'); #2951=APPROVAL_STATUS('not_yet_approved'); #2952=APPROVAL_STATUS('not_yet_approved'); #2953=APPROVAL_STATUS('not_yet_approved'); #2954=APPROVAL_STATUS('not_yet_approved'); #2955=APPROVAL(#2849,'defintion approval'); #2956=APPROVAL(#2850,'version approval'); #2957=APPROVAL(#2851,'security approval'); #2958=APPROVAL(#2852,'defintion approval'); #2959=APPROVAL(#2853,'version approval'); #2960=APPROVAL(#2854,'security approval'); #2961=APPROVAL(#2855,'defintion approval'); #2962=APPROVAL(#2856,'version approval'); #2963=APPROVAL(#2857,'security approval'); #2964=APPROVAL(#2858,'security approval'); #2965=APPROVAL(#2859,'security approval'); #2966=APPROVAL(#2860,'security approval'); #2967=APPROVAL(#2861,'security approval'); #2968=APPROVAL(#2862,'security approval'); #2969=APPROVAL(#2863,'defintion approval'); #2970=APPROVAL(#2864,'version approval'); #2971=APPROVAL(#2865,'security approval'); #2972=APPROVAL(#2866,'defintion approval'); #2973=APPROVAL(#2867,'version approval'); #2974=APPROVAL(#2868,'security approval'); #2975=APPROVAL(#2869,'defintion approval'); #2976=APPROVAL(#2870,'version approval'); #2977=APPROVAL(#2871,'security approval'); #2978=APPROVAL(#2872,'defintion approval'); #2979=APPROVAL(#2873,'version approval'); #2980=APPROVAL(#2874,'security approval'); #2981=APPROVAL(#2875,'security approval'); #2982=APPROVAL(#2876,'security approval'); #2983=APPROVAL(#2877,'security approval'); #2984=APPROVAL(#2878,'security approval'); #2985=APPROVAL(#2879,'security approval'); #2986=APPROVAL(#2880,'security approval'); #2987=APPROVAL(#2881,'security approval'); #2988=APPROVAL(#2882,'security approval'); #2989=APPROVAL(#2883,'security approval'); #2990=APPROVAL(#2884,'security approval'); #2991=APPROVAL(#2885,'security approval'); #2992=APPROVAL(#2886,'security approval'); #2993=APPROVAL(#2887,'security approval'); #2994=APPROVAL(#2888,'security approval'); #2995=APPROVAL(#2889,'security approval'); #2996=APPROVAL(#2890,'security approval'); #2997=APPROVAL(#2891,'security approval'); #2998=APPROVAL(#2892,'security approval'); #2999=APPROVAL(#2893,'security approval'); #3000=APPROVAL(#2894,'security approval'); #3001=APPROVAL(#2895,'security approval'); #3002=APPROVAL(#2896,'security approval'); #3003=APPROVAL(#2897,'security approval'); #3004=APPROVAL(#2898,'security approval'); #3005=APPROVAL(#2899,'security approval'); #3006=APPROVAL(#2900,'security approval'); #3007=APPROVAL(#2901,'security approval'); #3008=APPROVAL(#2902,'security approval'); #3009=APPROVAL(#2903,'security approval'); #3010=APPROVAL(#2904,'security approval'); #3011=APPROVAL(#2905,'security approval'); #3012=APPROVAL(#2906,'security approval'); #3013=APPROVAL(#2907,'security approval'); #3014=APPROVAL(#2908,'security approval'); #3015=APPROVAL(#2909,'security approval'); #3016=APPROVAL(#2910,'security approval'); #3017=APPROVAL(#2911,'security approval'); #3018=APPROVAL(#2912,'security approval'); #3019=APPROVAL(#2913,'security approval'); #3020=APPROVAL(#2914,'security approval'); #3021=APPROVAL(#2915,'security approval'); #3022=APPROVAL(#2916,'security approval'); #3023=APPROVAL(#2917,'security approval'); #3024=APPROVAL(#2918,'security approval'); #3025=APPROVAL(#2919,'security approval'); #3026=APPROVAL(#2920,'security approval'); #3027=APPROVAL(#2921,'security approval'); #3028=APPROVAL(#2922,'security approval'); #3029=APPROVAL(#2923,'security approval'); #3030=APPROVAL(#2924,'security approval'); #3031=APPROVAL(#2925,'security approval'); #3032=APPROVAL(#2926,'security approval'); #3033=APPROVAL(#2927,'security approval'); #3034=APPROVAL(#2928,'security approval'); #3035=APPROVAL(#2929,'security approval'); #3036=APPROVAL(#2930,'security approval'); #3037=APPROVAL(#2931,'security approval'); #3038=APPROVAL(#2932,'security approval'); #3039=APPROVAL(#2933,'security approval'); #3040=APPROVAL(#2934,'security approval'); #3041=APPROVAL(#2935,'security approval'); #3042=APPROVAL(#2936,'security approval'); #3043=APPROVAL(#2937,'security approval'); #3044=APPROVAL(#2938,'security approval'); #3045=APPROVAL(#2939,'security approval'); #3046=APPROVAL(#2940,'security approval'); #3047=APPROVAL(#2941,'security approval'); #3048=APPROVAL(#2942,'security approval'); #3049=APPROVAL(#2943,'security approval'); #3050=APPROVAL(#2944,'security approval'); #3051=APPROVAL(#2945,'security approval'); #3052=APPROVAL(#2946,'security approval'); #3053=APPROVAL(#2947,'security approval'); #3054=APPROVAL(#2948,'security approval'); #3055=APPROVAL(#2949,'security approval'); #3056=APPROVAL(#2950,'security approval'); #3057=APPROVAL(#2951,'security approval'); #3058=APPROVAL(#2952,'security approval'); #3059=APPROVAL(#2953,'security approval'); #3060=APPROVAL(#2954,'security approval'); #3061=PRODUCT_DEFINITION('4_inch_8th_thick_square_tube_120_inch', 'DefinitionDesc',#3068,#924); #3062=PRODUCT_DEFINITION('4_inch_8th_thick_square_tube_12_inch', 'DefinitionDesc',#3069,#924); #3063=PRODUCT_DEFINITION('Frame_Assembly_one_side','DefinitionDesc',#3070, #924); #3064=PRODUCT_DEFINITION('4_inch_8th_thick_square_tube_40_inch', 'DefinitionDesc',#3071,#924); #3065=PRODUCT_DEFINITION('48x80_8th_inch_thick_floor_plate', 'DefinitionDesc',#3072,#924); #3066=PRODUCT_DEFINITION('_25inch_diameter_weld_4inches_long', 'DefinitionDesc',#3073,#924); #3067=PRODUCT_DEFINITION('DefinitionID','DefinitionDesc',#3074,#924); #3068=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE( 'PRODUCT_VERSION_ID','PRODUCT_VERSION_DESCR',#3075,.NOT_KNOWN.); #3069=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE( 'PRODUCT_VERSION_ID','PRODUCT_VERSION_DESCR',#3076,.NOT_KNOWN.); #3070=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE( 'PRODUCT_VERSION_ID','PRODUCT_VERSION_DESCR',#3077,.NOT_KNOWN.); #3071=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE( 'PRODUCT_VERSION_ID','PRODUCT_VERSION_DESCR',#3078,.NOT_KNOWN.); #3072=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE( 'PRODUCT_VERSION_ID','PRODUCT_VERSION_DESCR',#3079,.NOT_KNOWN.); #3073=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE( 'PRODUCT_VERSION_ID','PRODUCT_VERSION_DESCR',#3080,.NOT_KNOWN.); #3074=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE( 'PRODUCT_VERSION_ID','PRODUCT_VERSION_DESCR',#3081,.NOT_KNOWN.); #3075=PRODUCT('ID_1','4_inch_8th_thick_square_tube_120_inch', 'productDesc',(#539)); #3076=PRODUCT('ID_2','4_inch_8th_thick_square_tube_12_inch', 'productDesc',(#539)); #3077=PRODUCT('ID_1','Frame_Assembly_one_side','',(#539)); #3078=PRODUCT('ID_3','4_inch_8th_thick_square_tube_40_inch', 'productDesc',(#539)); #3079=PRODUCT('ID_4','48x80_8th_inch_thick_floor_plate','productDesc',(#539)); #3080=PRODUCT('ID_5','_25inch_diameter_weld_4inches_long','productDesc', (#539)); #3081=PRODUCT('ID_1','Frame_Assembly','',(#539)); #3082=SHAPE_REPRESENTATION('4_inch_8th_thick_square_tube_120_inch',(#4819, #4827),#3122); #3083=SHAPE_REPRESENTATION('4_inch_8th_thick_square_tube_12_inch',(#4821, #4823,#4825),#3123); #3084=SHAPE_REPRESENTATION('NAME_0',(#4818,#4820,#4822,#4824,#4826,#5036, #5038),#3124); #3085=SHAPE_REPRESENTATION('4_inch_8th_thick_square_tube_40_inch',(#5040, #5042,#5044,#5046),#3125); #3086=SHAPE_REPRESENTATION('48x80_8th_inch_thick_floor_plate',(#5048),#3126); #3087=SHAPE_REPRESENTATION('_25inch_diameter_weld_4inches_long',(#5050,#5052, #5054,#5056,#5058,#5060,#5062,#5064,#5066,#5068,#5070,#5072,#5074,#5076, #5078,#5080,#5082,#5084,#5086,#5088,#5090,#5092,#5094,#5096,#5098,#5100, #5102,#5104,#5106,#5108,#5110,#5112,#5114,#5116,#5118,#5120,#5122,#5124, #5126,#5128,#5130,#5132,#5134,#5136,#5138,#5140,#5142,#5144,#5146,#5148, #5150,#5152,#5154,#5156,#5158,#5160,#5162,#5164,#5166,#5168,#5170,#5172, #5174,#5176,#5178,#5180,#5182,#5184,#5186,#5188,#5190,#5192,#5194),#3127); #3088=SHAPE_REPRESENTATION('NAME_50',(#5035,#5037,#5039,#5041,#5043,#5045, #5047,#5049,#5051,#5053,#5055,#5057,#5059,#5061,#5063,#5065,#5067,#5069, #5071,#5073,#5075,#5077,#5079,#5081,#5083,#5085,#5087,#5089,#5091,#5093, #5095,#5097,#5099,#5101,#5103,#5105,#5107,#5109,#5111,#5113,#5115,#5117, #5119,#5121,#5123,#5125,#5127,#5129,#5131,#5133,#5135,#5137,#5139,#5141, #5143,#5145,#5147,#5149,#5151,#5153,#5155,#5157,#5159,#5161,#5163,#5165, #5167,#5169,#5171,#5173,#5175,#5177,#5179,#5181,#5183,#5185,#5187,#5189, #5191,#5193),#3128); #3089=SHAPE_REPRESENTATION_RELATIONSHIP('NAME_0',$,#6746,#3082); #3090=SHAPE_REPRESENTATION_RELATIONSHIP('NAME_0',$,#6747,#3083); #3091=SHAPE_REPRESENTATION_RELATIONSHIP('NAME_50',$,#6748,#3085); #3092=SHAPE_REPRESENTATION_RELATIONSHIP('NAME_50',$,#6749,#3086); #3093=SHAPE_REPRESENTATION_RELATIONSHIP('NAME_50',$,#6750,#3087); #3094=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.CENTI.,.METRE.) ); #3095=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.CENTI.,.METRE.) ); #3096=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.CENTI.,.METRE.) ); #3097=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.CENTI.,.METRE.) ); #3098=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.CENTI.,.METRE.) ); #3099=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.CENTI.,.METRE.) ); #3100=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.CENTI.,.METRE.) ); #3101=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #3102=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #3103=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #3104=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #3105=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #3106=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #3107=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #3108=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #3109=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #3110=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #3111=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #3112=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #3113=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #3114=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #3115=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.E-006),#3094, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted co nnectivities'); #3116=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.E-006),#3095, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted co nnectivities'); #3117=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.E-006),#3096, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted co nnectivities'); #3118=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.E-006),#3097, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted co nnectivities'); #3119=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.E-006),#3098, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted co nnectivities'); #3120=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.E-006),#3099, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted co nnectivities'); #3121=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.E-006),#3100, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted co nnectivities'); #3122=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3115)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#3108,#3101,#3094)) REPRESENTATION_CONTEXT('ID1','3D') ); #3123=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3116)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#3109,#3102,#3095)) REPRESENTATION_CONTEXT('ID1','3D') ); #3124=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3117)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#3110,#3103,#3096)) REPRESENTATION_CONTEXT('ID1','3D') ); #3125=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3118)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#3111,#3104,#3097)) REPRESENTATION_CONTEXT('ID1','3D') ); #3126=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3119)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#3112,#3105,#3098)) REPRESENTATION_CONTEXT('ID1','3D') ); #3127=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3120)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#3113,#3106,#3099)) REPRESENTATION_CONTEXT('ID1','3D') ); #3128=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3121)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#3114,#3107,#3100)) REPRESENTATION_CONTEXT('ID1','3D') ); #3129=VERTEX_POINT('',#6037); #3130=VERTEX_POINT('',#6038); #3131=VERTEX_POINT('',#6040); #3132=VERTEX_POINT('',#6042); #3133=VERTEX_POINT('',#6046); #3134=VERTEX_POINT('',#6048); #3135=VERTEX_POINT('',#6052); #3136=VERTEX_POINT('',#6054); #3137=VERTEX_POINT('',#6061); #3138=VERTEX_POINT('',#6062); #3139=VERTEX_POINT('',#6064); #3140=VERTEX_POINT('',#6066); #3141=VERTEX_POINT('',#6070); #3142=VERTEX_POINT('',#6072); #3143=VERTEX_POINT('',#6076); #3144=VERTEX_POINT('',#6078); #3145=VERTEX_POINT('',#6087); #3146=VERTEX_POINT('',#6088); #3147=VERTEX_POINT('',#6090); #3148=VERTEX_POINT('',#6092); #3149=VERTEX_POINT('',#6096); #3150=VERTEX_POINT('',#6098); #3151=VERTEX_POINT('',#6102); #3152=VERTEX_POINT('',#6104); #3153=VERTEX_POINT('',#6111); #3154=VERTEX_POINT('',#6112); #3155=VERTEX_POINT('',#6114); #3156=VERTEX_POINT('',#6116); #3157=VERTEX_POINT('',#6120); #3158=VERTEX_POINT('',#6122); #3159=VERTEX_POINT('',#6126); #3160=VERTEX_POINT('',#6128); #3161=VERTEX_POINT('',#6147); #3162=VERTEX_POINT('',#6148); #3163=VERTEX_POINT('',#6150); #3164=VERTEX_POINT('',#6152); #3165=VERTEX_POINT('',#6156); #3166=VERTEX_POINT('',#6158); #3167=VERTEX_POINT('',#6162); #3168=VERTEX_POINT('',#6164); #3169=VERTEX_POINT('',#6171); #3170=VERTEX_POINT('',#6172); #3171=VERTEX_POINT('',#6174); #3172=VERTEX_POINT('',#6176); #3173=VERTEX_POINT('',#6180); #3174=VERTEX_POINT('',#6182); #3175=VERTEX_POINT('',#6186); #3176=VERTEX_POINT('',#6188); #3177=VERTEX_POINT('',#6197); #3178=VERTEX_POINT('',#6198); #3179=VERTEX_POINT('',#6200); #3180=VERTEX_POINT('',#6202); #3181=VERTEX_POINT('',#6206); #3182=VERTEX_POINT('',#6208); #3183=VERTEX_POINT('',#6212); #3184=VERTEX_POINT('',#6214); #3185=VERTEX_POINT('',#6221); #3186=VERTEX_POINT('',#6223); #3187=VERTEX_POINT('',#6226); #3188=VERTEX_POINT('',#6228); #3189=VERTEX_POINT('',#6231); #3190=VERTEX_POINT('',#6233); #3191=VERTEX_POINT('',#6236); #3192=VERTEX_POINT('',#6238); #3193=VERTEX_POINT('',#6241); #3194=VERTEX_POINT('',#6243); #3195=VERTEX_POINT('',#6246); #3196=VERTEX_POINT('',#6248); #3197=VERTEX_POINT('',#6251); #3198=VERTEX_POINT('',#6253); #3199=VERTEX_POINT('',#6256); #3200=VERTEX_POINT('',#6258); #3201=VERTEX_POINT('',#6261); #3202=VERTEX_POINT('',#6263); #3203=VERTEX_POINT('',#6266); #3204=VERTEX_POINT('',#6268); #3205=VERTEX_POINT('',#6271); #3206=VERTEX_POINT('',#6273); #3207=VERTEX_POINT('',#6276); #3208=VERTEX_POINT('',#6278); #3209=VERTEX_POINT('',#6281); #3210=VERTEX_POINT('',#6283); #3211=VERTEX_POINT('',#6286); #3212=VERTEX_POINT('',#6288); #3213=VERTEX_POINT('',#6291); #3214=VERTEX_POINT('',#6293); #3215=VERTEX_POINT('',#6296); #3216=VERTEX_POINT('',#6298); #3217=VERTEX_POINT('',#6301); #3218=VERTEX_POINT('',#6303); #3219=VERTEX_POINT('',#6306); #3220=VERTEX_POINT('',#6308); #3221=VERTEX_POINT('',#6311); #3222=VERTEX_POINT('',#6313); #3223=VERTEX_POINT('',#6316); #3224=VERTEX_POINT('',#6318); #3225=VERTEX_POINT('',#6321); #3226=VERTEX_POINT('',#6323); #3227=VERTEX_POINT('',#6326); #3228=VERTEX_POINT('',#6328); #3229=VERTEX_POINT('',#6331); #3230=VERTEX_POINT('',#6333); #3231=VERTEX_POINT('',#6336); #3232=VERTEX_POINT('',#6338); #3233=VERTEX_POINT('',#6341); #3234=VERTEX_POINT('',#6343); #3235=VERTEX_POINT('',#6346); #3236=VERTEX_POINT('',#6348); #3237=VERTEX_POINT('',#6351); #3238=VERTEX_POINT('',#6353); #3239=VERTEX_POINT('',#6356); #3240=VERTEX_POINT('',#6358); #3241=VERTEX_POINT('',#6361); #3242=VERTEX_POINT('',#6363); #3243=VERTEX_POINT('',#6366); #3244=VERTEX_POINT('',#6368); #3245=VERTEX_POINT('',#6371); #3246=VERTEX_POINT('',#6373); #3247=VERTEX_POINT('',#6376); #3248=VERTEX_POINT('',#6378); #3249=VERTEX_POINT('',#6381); #3250=VERTEX_POINT('',#6383); #3251=VERTEX_POINT('',#6386); #3252=VERTEX_POINT('',#6388); #3253=VERTEX_POINT('',#6391); #3254=VERTEX_POINT('',#6393); #3255=VERTEX_POINT('',#6396); #3256=VERTEX_POINT('',#6398); #3257=VERTEX_POINT('',#6401); #3258=VERTEX_POINT('',#6403); #3259=VERTEX_POINT('',#6406); #3260=VERTEX_POINT('',#6408); #3261=VERTEX_POINT('',#6411); #3262=VERTEX_POINT('',#6413); #3263=VERTEX_POINT('',#6416); #3264=VERTEX_POINT('',#6418); #3265=VERTEX_POINT('',#6421); #3266=VERTEX_POINT('',#6423); #3267=VERTEX_POINT('',#6426); #3268=VERTEX_POINT('',#6428); #3269=VERTEX_POINT('',#6431); #3270=VERTEX_POINT('',#6433); #3271=VERTEX_POINT('',#6436); #3272=VERTEX_POINT('',#6438); #3273=VERTEX_POINT('',#6441); #3274=VERTEX_POINT('',#6443); #3275=VERTEX_POINT('',#6446); #3276=VERTEX_POINT('',#6448); #3277=VERTEX_POINT('',#6451); #3278=VERTEX_POINT('',#6453); #3279=VERTEX_POINT('',#6456); #3280=VERTEX_POINT('',#6458); #3281=VERTEX_POINT('',#6461); #3282=VERTEX_POINT('',#6463); #3283=VERTEX_POINT('',#6466); #3284=VERTEX_POINT('',#6468); #3285=VERTEX_POINT('',#6471); #3286=VERTEX_POINT('',#6473); #3287=VERTEX_POINT('',#6476); #3288=VERTEX_POINT('',#6478); #3289=VERTEX_POINT('',#6481); #3290=VERTEX_POINT('',#6483); #3291=VERTEX_POINT('',#6486); #3292=VERTEX_POINT('',#6488); #3293=VERTEX_POINT('',#6491); #3294=VERTEX_POINT('',#6493); #3295=VERTEX_POINT('',#6496); #3296=VERTEX_POINT('',#6498); #3297=VERTEX_POINT('',#6501); #3298=VERTEX_POINT('',#6503); #3299=VERTEX_POINT('',#6506); #3300=VERTEX_POINT('',#6508); #3301=VERTEX_POINT('',#6511); #3302=VERTEX_POINT('',#6513); #3303=VERTEX_POINT('',#6516); #3304=VERTEX_POINT('',#6518); #3305=VERTEX_POINT('',#6521); #3306=VERTEX_POINT('',#6523); #3307=VERTEX_POINT('',#6526); #3308=VERTEX_POINT('',#6528); #3309=VERTEX_POINT('',#6533); #3310=VERTEX_POINT('',#6535); #3311=VECTOR('',#5196,1.); #3312=VECTOR('',#5197,1.); #3313=VECTOR('',#5198,1.); #3314=VECTOR('',#5199,1.); #3315=VECTOR('',#5201,1.); #3316=VECTOR('',#5202,1.); #3317=VECTOR('',#5203,1.); #3318=VECTOR('',#5205,1.); #3319=VECTOR('',#5206,1.); #3320=VECTOR('',#5207,1.); #3321=VECTOR('',#5209,1.); #3322=VECTOR('',#5210,1.); #3323=VECTOR('',#5212,1.); #3324=VECTOR('',#5213,1.); #3325=VECTOR('',#5214,1.); #3326=VECTOR('',#5215,1.); #3327=VECTOR('',#5217,1.); #3328=VECTOR('',#5218,1.); #3329=VECTOR('',#5219,1.); #3330=VECTOR('',#5221,1.); #3331=VECTOR('',#5222,1.); #3332=VECTOR('',#5223,1.); #3333=VECTOR('',#5225,1.); #3334=VECTOR('',#5226,1.); #3335=VECTOR('',#5230,1.); #3336=VECTOR('',#5231,1.); #3337=VECTOR('',#5232,1.); #3338=VECTOR('',#5233,1.); #3339=VECTOR('',#5235,1.); #3340=VECTOR('',#5236,1.); #3341=VECTOR('',#5237,1.); #3342=VECTOR('',#5239,1.); #3343=VECTOR('',#5240,1.); #3344=VECTOR('',#5241,1.); #3345=VECTOR('',#5243,1.); #3346=VECTOR('',#5244,1.); #3347=VECTOR('',#5246,1.); #3348=VECTOR('',#5247,1.); #3349=VECTOR('',#5248,1.); #3350=VECTOR('',#5249,1.); #3351=VECTOR('',#5251,1.); #3352=VECTOR('',#5252,1.); #3353=VECTOR('',#5253,1.); #3354=VECTOR('',#5255,1.); #3355=VECTOR('',#5256,1.); #3356=VECTOR('',#5257,1.); #3357=VECTOR('',#5259,1.); #3358=VECTOR('',#5260,1.); #3359=VECTOR('',#5284,1.); #3360=VECTOR('',#5285,1.); #3361=VECTOR('',#5286,1.); #3362=VECTOR('',#5287,1.); #3363=VECTOR('',#5289,1.); #3364=VECTOR('',#5290,1.); #3365=VECTOR('',#5291,1.); #3366=VECTOR('',#5293,1.); #3367=VECTOR('',#5294,1.); #3368=VECTOR('',#5295,1.); #3369=VECTOR('',#5297,1.); #3370=VECTOR('',#5298,1.); #3371=VECTOR('',#5300,1.); #3372=VECTOR('',#5301,1.); #3373=VECTOR('',#5302,1.); #3374=VECTOR('',#5303,1.); #3375=VECTOR('',#5305,1.); #3376=VECTOR('',#5306,1.); #3377=VECTOR('',#5307,1.); #3378=VECTOR('',#5309,1.); #3379=VECTOR('',#5310,1.); #3380=VECTOR('',#5311,1.); #3381=VECTOR('',#5313,1.); #3382=VECTOR('',#5314,1.); #3383=VECTOR('',#5318,1.); #3384=VECTOR('',#5319,1.); #3385=VECTOR('',#5320,1.); #3386=VECTOR('',#5321,1.); #3387=VECTOR('',#5323,1.); #3388=VECTOR('',#5324,1.); #3389=VECTOR('',#5325,1.); #3390=VECTOR('',#5327,1.); #3391=VECTOR('',#5328,1.); #3392=VECTOR('',#5329,1.); #3393=VECTOR('',#5331,1.); #3394=VECTOR('',#5332,1.); #3395=LINE('',#6036,#3311); #3396=LINE('',#6039,#3312); #3397=LINE('',#6041,#3313); #3398=LINE('',#6043,#3314); #3399=LINE('',#6045,#3315); #3400=LINE('',#6047,#3316); #3401=LINE('',#6049,#3317); #3402=LINE('',#6051,#3318); #3403=LINE('',#6053,#3319); #3404=LINE('',#6055,#3320); #3405=LINE('',#6057,#3321); #3406=LINE('',#6058,#3322); #3407=LINE('',#6060,#3323); #3408=LINE('',#6063,#3324); #3409=LINE('',#6065,#3325); #3410=LINE('',#6067,#3326); #3411=LINE('',#6069,#3327); #3412=LINE('',#6071,#3328); #3413=LINE('',#6073,#3329); #3414=LINE('',#6075,#3330); #3415=LINE('',#6077,#3331); #3416=LINE('',#6079,#3332); #3417=LINE('',#6081,#3333); #3418=LINE('',#6082,#3334); #3419=LINE('',#6086,#3335); #3420=LINE('',#6089,#3336); #3421=LINE('',#6091,#3337); #3422=LINE('',#6093,#3338); #3423=LINE('',#6095,#3339); #3424=LINE('',#6097,#3340); #3425=LINE('',#6099,#3341); #3426=LINE('',#6101,#3342); #3427=LINE('',#6103,#3343); #3428=LINE('',#6105,#3344); #3429=LINE('',#6107,#3345); #3430=LINE('',#6108,#3346); #3431=LINE('',#6110,#3347); #3432=LINE('',#6113,#3348); #3433=LINE('',#6115,#3349); #3434=LINE('',#6117,#3350); #3435=LINE('',#6119,#3351); #3436=LINE('',#6121,#3352); #3437=LINE('',#6123,#3353); #3438=LINE('',#6125,#3354); #3439=LINE('',#6127,#3355); #3440=LINE('',#6129,#3356); #3441=LINE('',#6131,#3357); #3442=LINE('',#6132,#3358); #3443=LINE('',#6146,#3359); #3444=LINE('',#6149,#3360); #3445=LINE('',#6151,#3361); #3446=LINE('',#6153,#3362); #3447=LINE('',#6155,#3363); #3448=LINE('',#6157,#3364); #3449=LINE('',#6159,#3365); #3450=LINE('',#6161,#3366); #3451=LINE('',#6163,#3367); #3452=LINE('',#6165,#3368); #3453=LINE('',#6167,#3369); #3454=LINE('',#6168,#3370); #3455=LINE('',#6170,#3371); #3456=LINE('',#6173,#3372); #3457=LINE('',#6175,#3373); #3458=LINE('',#6177,#3374); #3459=LINE('',#6179,#3375); #3460=LINE('',#6181,#3376); #3461=LINE('',#6183,#3377); #3462=LINE('',#6185,#3378); #3463=LINE('',#6187,#3379); #3464=LINE('',#6189,#3380); #3465=LINE('',#6191,#3381); #3466=LINE('',#6192,#3382); #3467=LINE('',#6196,#3383); #3468=LINE('',#6199,#3384); #3469=LINE('',#6201,#3385); #3470=LINE('',#6203,#3386); #3471=LINE('',#6205,#3387); #3472=LINE('',#6207,#3388); #3473=LINE('',#6209,#3389); #3474=LINE('',#6211,#3390); #3475=LINE('',#6213,#3391); #3476=LINE('',#6215,#3392); #3477=LINE('',#6217,#3393); #3478=LINE('',#6218,#3394); #3479=EDGE_CURVE('',#3129,#3130,#3395,.T.); #3480=EDGE_CURVE('',#3131,#3130,#3396,.T.); #3481=EDGE_CURVE('',#3131,#3132,#3397,.T.); #3482=EDGE_CURVE('',#3132,#3129,#3398,.T.); #3483=EDGE_CURVE('',#3130,#3133,#3399,.T.); #3484=EDGE_CURVE('',#3134,#3133,#3400,.T.); #3485=EDGE_CURVE('',#3134,#3131,#3401,.T.); #3486=EDGE_CURVE('',#3133,#3135,#3402,.T.); #3487=EDGE_CURVE('',#3136,#3135,#3403,.T.); #3488=EDGE_CURVE('',#3136,#3134,#3404,.T.); #3489=EDGE_CURVE('',#3135,#3129,#3405,.T.); #3490=EDGE_CURVE('',#3132,#3136,#3406,.T.); #3491=EDGE_CURVE('',#3137,#3138,#3407,.T.); #3492=EDGE_CURVE('',#3139,#3138,#3408,.T.); #3493=EDGE_CURVE('',#3140,#3139,#3409,.T.); #3494=EDGE_CURVE('',#3140,#3137,#3410,.T.); #3495=EDGE_CURVE('',#3138,#3141,#3411,.T.); #3496=EDGE_CURVE('',#3142,#3141,#3412,.T.); #3497=EDGE_CURVE('',#3139,#3142,#3413,.T.); #3498=EDGE_CURVE('',#3141,#3143,#3414,.T.); #3499=EDGE_CURVE('',#3144,#3143,#3415,.T.); #3500=EDGE_CURVE('',#3142,#3144,#3416,.T.); #3501=EDGE_CURVE('',#3143,#3137,#3417,.T.); #3502=EDGE_CURVE('',#3144,#3140,#3418,.T.); #3503=EDGE_CURVE('',#3145,#3146,#3419,.T.); #3504=EDGE_CURVE('',#3147,#3146,#3420,.T.); #3505=EDGE_CURVE('',#3147,#3148,#3421,.T.); #3506=EDGE_CURVE('',#3148,#3145,#3422,.T.); #3507=EDGE_CURVE('',#3146,#3149,#3423,.T.); #3508=EDGE_CURVE('',#3150,#3149,#3424,.T.); #3509=EDGE_CURVE('',#3150,#3147,#3425,.T.); #3510=EDGE_CURVE('',#3149,#3151,#3426,.T.); #3511=EDGE_CURVE('',#3152,#3151,#3427,.T.); #3512=EDGE_CURVE('',#3152,#3150,#3428,.T.); #3513=EDGE_CURVE('',#3151,#3145,#3429,.T.); #3514=EDGE_CURVE('',#3148,#3152,#3430,.T.); #3515=EDGE_CURVE('',#3153,#3154,#3431,.T.); #3516=EDGE_CURVE('',#3155,#3154,#3432,.T.); #3517=EDGE_CURVE('',#3156,#3155,#3433,.T.); #3518=EDGE_CURVE('',#3156,#3153,#3434,.T.); #3519=EDGE_CURVE('',#3154,#3157,#3435,.T.); #3520=EDGE_CURVE('',#3158,#3157,#3436,.T.); #3521=EDGE_CURVE('',#3155,#3158,#3437,.T.); #3522=EDGE_CURVE('',#3157,#3159,#3438,.T.); #3523=EDGE_CURVE('',#3160,#3159,#3439,.T.); #3524=EDGE_CURVE('',#3158,#3160,#3440,.T.); #3525=EDGE_CURVE('',#3159,#3153,#3441,.T.); #3526=EDGE_CURVE('',#3160,#3156,#3442,.T.); #3527=EDGE_CURVE('',#3161,#3162,#3443,.T.); #3528=EDGE_CURVE('',#3163,#3162,#3444,.T.); #3529=EDGE_CURVE('',#3163,#3164,#3445,.T.); #3530=EDGE_CURVE('',#3164,#3161,#3446,.T.); #3531=EDGE_CURVE('',#3162,#3165,#3447,.T.); #3532=EDGE_CURVE('',#3166,#3165,#3448,.T.); #3533=EDGE_CURVE('',#3166,#3163,#3449,.T.); #3534=EDGE_CURVE('',#3165,#3167,#3450,.T.); #3535=EDGE_CURVE('',#3168,#3167,#3451,.T.); #3536=EDGE_CURVE('',#3168,#3166,#3452,.T.); #3537=EDGE_CURVE('',#3167,#3161,#3453,.T.); #3538=EDGE_CURVE('',#3164,#3168,#3454,.T.); #3539=EDGE_CURVE('',#3169,#3170,#3455,.T.); #3540=EDGE_CURVE('',#3171,#3170,#3456,.T.); #3541=EDGE_CURVE('',#3172,#3171,#3457,.T.); #3542=EDGE_CURVE('',#3172,#3169,#3458,.T.); #3543=EDGE_CURVE('',#3170,#3173,#3459,.T.); #3544=EDGE_CURVE('',#3174,#3173,#3460,.T.); #3545=EDGE_CURVE('',#3171,#3174,#3461,.T.); #3546=EDGE_CURVE('',#3173,#3175,#3462,.T.); #3547=EDGE_CURVE('',#3176,#3175,#3463,.T.); #3548=EDGE_CURVE('',#3174,#3176,#3464,.T.); #3549=EDGE_CURVE('',#3175,#3169,#3465,.T.); #3550=EDGE_CURVE('',#3176,#3172,#3466,.T.); #3551=EDGE_CURVE('',#3177,#3178,#3467,.T.); #3552=EDGE_CURVE('',#3179,#3178,#3468,.T.); #3553=EDGE_CURVE('',#3179,#3180,#3469,.T.); #3554=EDGE_CURVE('',#3180,#3177,#3470,.T.); #3555=EDGE_CURVE('',#3178,#3181,#3471,.T.); #3556=EDGE_CURVE('',#3182,#3181,#3472,.T.); #3557=EDGE_CURVE('',#3182,#3179,#3473,.T.); #3558=EDGE_CURVE('',#3181,#3183,#3474,.T.); #3559=EDGE_CURVE('',#3184,#3183,#3475,.T.); #3560=EDGE_CURVE('',#3184,#3182,#3476,.T.); #3561=EDGE_CURVE('',#3183,#3177,#3477,.T.); #3562=EDGE_CURVE('',#3180,#3184,#3478,.T.); #3563=EDGE_CURVE('',#3185,#3185,#10,.T.); #3564=EDGE_CURVE('',#3186,#3186,#11,.T.); #3565=EDGE_CURVE('',#3187,#3187,#12,.T.); #3566=EDGE_CURVE('',#3188,#3188,#13,.T.); #3567=EDGE_CURVE('',#3189,#3189,#14,.T.); #3568=EDGE_CURVE('',#3190,#3190,#15,.T.); #3569=EDGE_CURVE('',#3191,#3191,#16,.T.); #3570=EDGE_CURVE('',#3192,#3192,#17,.T.); #3571=EDGE_CURVE('',#3193,#3193,#18,.T.); #3572=EDGE_CURVE('',#3194,#3194,#19,.T.); #3573=EDGE_CURVE('',#3195,#3195,#20,.T.); #3574=EDGE_CURVE('',#3196,#3196,#21,.T.); #3575=EDGE_CURVE('',#3197,#3197,#22,.T.); #3576=EDGE_CURVE('',#3198,#3198,#23,.T.); #3577=EDGE_CURVE('',#3199,#3199,#24,.T.); #3578=EDGE_CURVE('',#3200,#3200,#25,.T.); #3579=EDGE_CURVE('',#3201,#3201,#26,.T.); #3580=EDGE_CURVE('',#3202,#3202,#27,.T.); #3581=EDGE_CURVE('',#3203,#3203,#28,.T.); #3582=EDGE_CURVE('',#3204,#3204,#29,.T.); #3583=EDGE_CURVE('',#3205,#3205,#30,.T.); #3584=EDGE_CURVE('',#3206,#3206,#31,.T.); #3585=EDGE_CURVE('',#3207,#3207,#32,.T.); #3586=EDGE_CURVE('',#3208,#3208,#33,.T.); #3587=EDGE_CURVE('',#3209,#3209,#34,.T.); #3588=EDGE_CURVE('',#3210,#3210,#35,.T.); #3589=EDGE_CURVE('',#3211,#3211,#36,.T.); #3590=EDGE_CURVE('',#3212,#3212,#37,.T.); #3591=EDGE_CURVE('',#3213,#3213,#38,.T.); #3592=EDGE_CURVE('',#3214,#3214,#39,.T.); #3593=EDGE_CURVE('',#3215,#3215,#40,.T.); #3594=EDGE_CURVE('',#3216,#3216,#41,.T.); #3595=EDGE_CURVE('',#3217,#3217,#42,.T.); #3596=EDGE_CURVE('',#3218,#3218,#43,.T.); #3597=EDGE_CURVE('',#3219,#3219,#44,.T.); #3598=EDGE_CURVE('',#3220,#3220,#45,.T.); #3599=EDGE_CURVE('',#3221,#3221,#46,.T.); #3600=EDGE_CURVE('',#3222,#3222,#47,.T.); #3601=EDGE_CURVE('',#3223,#3223,#48,.T.); #3602=EDGE_CURVE('',#3224,#3224,#49,.T.); #3603=EDGE_CURVE('',#3225,#3225,#50,.T.); #3604=EDGE_CURVE('',#3226,#3226,#51,.T.); #3605=EDGE_CURVE('',#3227,#3227,#52,.T.); #3606=EDGE_CURVE('',#3228,#3228,#53,.T.); #3607=EDGE_CURVE('',#3229,#3229,#54,.T.); #3608=EDGE_CURVE('',#3230,#3230,#55,.T.); #3609=EDGE_CURVE('',#3231,#3231,#56,.T.); #3610=EDGE_CURVE('',#3232,#3232,#57,.T.); #3611=EDGE_CURVE('',#3233,#3233,#58,.T.); #3612=EDGE_CURVE('',#3234,#3234,#59,.T.); #3613=EDGE_CURVE('',#3235,#3235,#60,.T.); #3614=EDGE_CURVE('',#3236,#3236,#61,.T.); #3615=EDGE_CURVE('',#3237,#3237,#62,.T.); #3616=EDGE_CURVE('',#3238,#3238,#63,.T.); #3617=EDGE_CURVE('',#3239,#3239,#64,.T.); #3618=EDGE_CURVE('',#3240,#3240,#65,.T.); #3619=EDGE_CURVE('',#3241,#3241,#66,.T.); #3620=EDGE_CURVE('',#3242,#3242,#67,.T.); #3621=EDGE_CURVE('',#3243,#3243,#68,.T.); #3622=EDGE_CURVE('',#3244,#3244,#69,.T.); #3623=EDGE_CURVE('',#3245,#3245,#70,.T.); #3624=EDGE_CURVE('',#3246,#3246,#71,.T.); #3625=EDGE_CURVE('',#3247,#3247,#72,.T.); #3626=EDGE_CURVE('',#3248,#3248,#73,.T.); #3627=EDGE_CURVE('',#3249,#3249,#74,.T.); #3628=EDGE_CURVE('',#3250,#3250,#75,.T.); #3629=EDGE_CURVE('',#3251,#3251,#76,.T.); #3630=EDGE_CURVE('',#3252,#3252,#77,.T.); #3631=EDGE_CURVE('',#3253,#3253,#78,.T.); #3632=EDGE_CURVE('',#3254,#3254,#79,.T.); #3633=EDGE_CURVE('',#3255,#3255,#80,.T.); #3634=EDGE_CURVE('',#3256,#3256,#81,.T.); #3635=EDGE_CURVE('',#3257,#3257,#82,.T.); #3636=EDGE_CURVE('',#3258,#3258,#83,.T.); #3637=EDGE_CURVE('',#3259,#3259,#84,.T.); #3638=EDGE_CURVE('',#3260,#3260,#85,.T.); #3639=EDGE_CURVE('',#3261,#3261,#86,.T.); #3640=EDGE_CURVE('',#3262,#3262,#87,.T.); #3641=EDGE_CURVE('',#3263,#3263,#88,.T.); #3642=EDGE_CURVE('',#3264,#3264,#89,.T.); #3643=EDGE_CURVE('',#3265,#3265,#90,.T.); #3644=EDGE_CURVE('',#3266,#3266,#91,.T.); #3645=EDGE_CURVE('',#3267,#3267,#92,.T.); #3646=EDGE_CURVE('',#3268,#3268,#93,.T.); #3647=EDGE_CURVE('',#3269,#3269,#94,.T.); #3648=EDGE_CURVE('',#3270,#3270,#95,.T.); #3649=EDGE_CURVE('',#3271,#3271,#96,.T.); #3650=EDGE_CURVE('',#3272,#3272,#97,.T.); #3651=EDGE_CURVE('',#3273,#3273,#98,.T.); #3652=EDGE_CURVE('',#3274,#3274,#99,.T.); #3653=EDGE_CURVE('',#3275,#3275,#100,.T.); #3654=EDGE_CURVE('',#3276,#3276,#101,.T.); #3655=EDGE_CURVE('',#3277,#3277,#102,.T.); #3656=EDGE_CURVE('',#3278,#3278,#103,.T.); #3657=EDGE_CURVE('',#3279,#3279,#104,.T.); #3658=EDGE_CURVE('',#3280,#3280,#105,.T.); #3659=EDGE_CURVE('',#3281,#3281,#106,.T.); #3660=EDGE_CURVE('',#3282,#3282,#107,.T.); #3661=EDGE_CURVE('',#3283,#3283,#108,.T.); #3662=EDGE_CURVE('',#3284,#3284,#109,.T.); #3663=EDGE_CURVE('',#3285,#3285,#110,.T.); #3664=EDGE_CURVE('',#3286,#3286,#111,.T.); #3665=EDGE_CURVE('',#3287,#3287,#112,.T.); #3666=EDGE_CURVE('',#3288,#3288,#113,.T.); #3667=EDGE_CURVE('',#3289,#3289,#114,.T.); #3668=EDGE_CURVE('',#3290,#3290,#115,.T.); #3669=EDGE_CURVE('',#3291,#3291,#116,.T.); #3670=EDGE_CURVE('',#3292,#3292,#117,.T.); #3671=EDGE_CURVE('',#3293,#3293,#118,.T.); #3672=EDGE_CURVE('',#3294,#3294,#119,.T.); #3673=EDGE_CURVE('',#3295,#3295,#120,.T.); #3674=EDGE_CURVE('',#3296,#3296,#121,.T.); #3675=EDGE_CURVE('',#3297,#3297,#122,.T.); #3676=EDGE_CURVE('',#3298,#3298,#123,.T.); #3677=EDGE_CURVE('',#3299,#3299,#124,.T.); #3678=EDGE_CURVE('',#3300,#3300,#125,.T.); #3679=EDGE_CURVE('',#3301,#3301,#126,.T.); #3680=EDGE_CURVE('',#3302,#3302,#127,.T.); #3681=EDGE_CURVE('',#3303,#3303,#128,.T.); #3682=EDGE_CURVE('',#3304,#3304,#129,.T.); #3683=EDGE_CURVE('',#3305,#3305,#130,.T.); #3684=EDGE_CURVE('',#3306,#3306,#131,.T.); #3685=EDGE_CURVE('',#3307,#3307,#132,.T.); #3686=EDGE_CURVE('',#3308,#3308,#133,.T.); #3687=EDGE_CURVE('',#3309,#3309,#134,.T.); #3688=EDGE_CURVE('',#3310,#3310,#135,.T.); #3689=ORIENTED_EDGE('',*,*,#3479,.T.); #3690=ORIENTED_EDGE('',*,*,#3480,.F.); #3691=ORIENTED_EDGE('',*,*,#3481,.T.); #3692=ORIENTED_EDGE('',*,*,#3482,.T.); #3693=ORIENTED_EDGE('',*,*,#3483,.T.); #3694=ORIENTED_EDGE('',*,*,#3484,.F.); #3695=ORIENTED_EDGE('',*,*,#3485,.T.); #3696=ORIENTED_EDGE('',*,*,#3480,.T.); #3697=ORIENTED_EDGE('',*,*,#3486,.T.); #3698=ORIENTED_EDGE('',*,*,#3487,.F.); #3699=ORIENTED_EDGE('',*,*,#3488,.T.); #3700=ORIENTED_EDGE('',*,*,#3484,.T.); #3701=ORIENTED_EDGE('',*,*,#3489,.T.); #3702=ORIENTED_EDGE('',*,*,#3482,.F.); #3703=ORIENTED_EDGE('',*,*,#3490,.T.); #3704=ORIENTED_EDGE('',*,*,#3487,.T.); #3705=ORIENTED_EDGE('',*,*,#3491,.T.); #3706=ORIENTED_EDGE('',*,*,#3492,.F.); #3707=ORIENTED_EDGE('',*,*,#3493,.F.); #3708=ORIENTED_EDGE('',*,*,#3494,.T.); #3709=ORIENTED_EDGE('',*,*,#3495,.T.); #3710=ORIENTED_EDGE('',*,*,#3496,.F.); #3711=ORIENTED_EDGE('',*,*,#3497,.F.); #3712=ORIENTED_EDGE('',*,*,#3492,.T.); #3713=ORIENTED_EDGE('',*,*,#3498,.T.); #3714=ORIENTED_EDGE('',*,*,#3499,.F.); #3715=ORIENTED_EDGE('',*,*,#3500,.F.); #3716=ORIENTED_EDGE('',*,*,#3496,.T.); #3717=ORIENTED_EDGE('',*,*,#3501,.T.); #3718=ORIENTED_EDGE('',*,*,#3494,.F.); #3719=ORIENTED_EDGE('',*,*,#3502,.F.); #3720=ORIENTED_EDGE('',*,*,#3499,.T.); #3721=ORIENTED_EDGE('',*,*,#3491,.F.); #3722=ORIENTED_EDGE('',*,*,#3501,.F.); #3723=ORIENTED_EDGE('',*,*,#3498,.F.); #3724=ORIENTED_EDGE('',*,*,#3495,.F.); #3725=ORIENTED_EDGE('',*,*,#3479,.F.); #3726=ORIENTED_EDGE('',*,*,#3489,.F.); #3727=ORIENTED_EDGE('',*,*,#3486,.F.); #3728=ORIENTED_EDGE('',*,*,#3483,.F.); #3729=ORIENTED_EDGE('',*,*,#3502,.T.); #3730=ORIENTED_EDGE('',*,*,#3493,.T.); #3731=ORIENTED_EDGE('',*,*,#3497,.T.); #3732=ORIENTED_EDGE('',*,*,#3500,.T.); #3733=ORIENTED_EDGE('',*,*,#3490,.F.); #3734=ORIENTED_EDGE('',*,*,#3481,.F.); #3735=ORIENTED_EDGE('',*,*,#3485,.F.); #3736=ORIENTED_EDGE('',*,*,#3488,.F.); #3737=ORIENTED_EDGE('',*,*,#3503,.T.); #3738=ORIENTED_EDGE('',*,*,#3504,.F.); #3739=ORIENTED_EDGE('',*,*,#3505,.T.); #3740=ORIENTED_EDGE('',*,*,#3506,.T.); #3741=ORIENTED_EDGE('',*,*,#3507,.T.); #3742=ORIENTED_EDGE('',*,*,#3508,.F.); #3743=ORIENTED_EDGE('',*,*,#3509,.T.); #3744=ORIENTED_EDGE('',*,*,#3504,.T.); #3745=ORIENTED_EDGE('',*,*,#3510,.T.); #3746=ORIENTED_EDGE('',*,*,#3511,.F.); #3747=ORIENTED_EDGE('',*,*,#3512,.T.); #3748=ORIENTED_EDGE('',*,*,#3508,.T.); #3749=ORIENTED_EDGE('',*,*,#3513,.T.); #3750=ORIENTED_EDGE('',*,*,#3506,.F.); #3751=ORIENTED_EDGE('',*,*,#3514,.T.); #3752=ORIENTED_EDGE('',*,*,#3511,.T.); #3753=ORIENTED_EDGE('',*,*,#3515,.T.); #3754=ORIENTED_EDGE('',*,*,#3516,.F.); #3755=ORIENTED_EDGE('',*,*,#3517,.F.); #3756=ORIENTED_EDGE('',*,*,#3518,.T.); #3757=ORIENTED_EDGE('',*,*,#3519,.T.); #3758=ORIENTED_EDGE('',*,*,#3520,.F.); #3759=ORIENTED_EDGE('',*,*,#3521,.F.); #3760=ORIENTED_EDGE('',*,*,#3516,.T.); #3761=ORIENTED_EDGE('',*,*,#3522,.T.); #3762=ORIENTED_EDGE('',*,*,#3523,.F.); #3763=ORIENTED_EDGE('',*,*,#3524,.F.); #3764=ORIENTED_EDGE('',*,*,#3520,.T.); #3765=ORIENTED_EDGE('',*,*,#3525,.T.); #3766=ORIENTED_EDGE('',*,*,#3518,.F.); #3767=ORIENTED_EDGE('',*,*,#3526,.F.); #3768=ORIENTED_EDGE('',*,*,#3523,.T.); #3769=ORIENTED_EDGE('',*,*,#3515,.F.); #3770=ORIENTED_EDGE('',*,*,#3525,.F.); #3771=ORIENTED_EDGE('',*,*,#3522,.F.); #3772=ORIENTED_EDGE('',*,*,#3519,.F.); #3773=ORIENTED_EDGE('',*,*,#3503,.F.); #3774=ORIENTED_EDGE('',*,*,#3513,.F.); #3775=ORIENTED_EDGE('',*,*,#3510,.F.); #3776=ORIENTED_EDGE('',*,*,#3507,.F.); #3777=ORIENTED_EDGE('',*,*,#3526,.T.); #3778=ORIENTED_EDGE('',*,*,#3517,.T.); #3779=ORIENTED_EDGE('',*,*,#3521,.T.); #3780=ORIENTED_EDGE('',*,*,#3524,.T.); #3781=ORIENTED_EDGE('',*,*,#3514,.F.); #3782=ORIENTED_EDGE('',*,*,#3505,.F.); #3783=ORIENTED_EDGE('',*,*,#3509,.F.); #3784=ORIENTED_EDGE('',*,*,#3512,.F.); #3785=ORIENTED_EDGE('',*,*,#3527,.T.); #3786=ORIENTED_EDGE('',*,*,#3528,.F.); #3787=ORIENTED_EDGE('',*,*,#3529,.T.); #3788=ORIENTED_EDGE('',*,*,#3530,.T.); #3789=ORIENTED_EDGE('',*,*,#3531,.T.); #3790=ORIENTED_EDGE('',*,*,#3532,.F.); #3791=ORIENTED_EDGE('',*,*,#3533,.T.); #3792=ORIENTED_EDGE('',*,*,#3528,.T.); #3793=ORIENTED_EDGE('',*,*,#3534,.T.); #3794=ORIENTED_EDGE('',*,*,#3535,.F.); #3795=ORIENTED_EDGE('',*,*,#3536,.T.); #3796=ORIENTED_EDGE('',*,*,#3532,.T.); #3797=ORIENTED_EDGE('',*,*,#3537,.T.); #3798=ORIENTED_EDGE('',*,*,#3530,.F.); #3799=ORIENTED_EDGE('',*,*,#3538,.T.); #3800=ORIENTED_EDGE('',*,*,#3535,.T.); #3801=ORIENTED_EDGE('',*,*,#3539,.T.); #3802=ORIENTED_EDGE('',*,*,#3540,.F.); #3803=ORIENTED_EDGE('',*,*,#3541,.F.); #3804=ORIENTED_EDGE('',*,*,#3542,.T.); #3805=ORIENTED_EDGE('',*,*,#3543,.T.); #3806=ORIENTED_EDGE('',*,*,#3544,.F.); #3807=ORIENTED_EDGE('',*,*,#3545,.F.); #3808=ORIENTED_EDGE('',*,*,#3540,.T.); #3809=ORIENTED_EDGE('',*,*,#3546,.T.); #3810=ORIENTED_EDGE('',*,*,#3547,.F.); #3811=ORIENTED_EDGE('',*,*,#3548,.F.); #3812=ORIENTED_EDGE('',*,*,#3544,.T.); #3813=ORIENTED_EDGE('',*,*,#3549,.T.); #3814=ORIENTED_EDGE('',*,*,#3542,.F.); #3815=ORIENTED_EDGE('',*,*,#3550,.F.); #3816=ORIENTED_EDGE('',*,*,#3547,.T.); #3817=ORIENTED_EDGE('',*,*,#3539,.F.); #3818=ORIENTED_EDGE('',*,*,#3549,.F.); #3819=ORIENTED_EDGE('',*,*,#3546,.F.); #3820=ORIENTED_EDGE('',*,*,#3543,.F.); #3821=ORIENTED_EDGE('',*,*,#3527,.F.); #3822=ORIENTED_EDGE('',*,*,#3537,.F.); #3823=ORIENTED_EDGE('',*,*,#3534,.F.); #3824=ORIENTED_EDGE('',*,*,#3531,.F.); #3825=ORIENTED_EDGE('',*,*,#3550,.T.); #3826=ORIENTED_EDGE('',*,*,#3541,.T.); #3827=ORIENTED_EDGE('',*,*,#3545,.T.); #3828=ORIENTED_EDGE('',*,*,#3548,.T.); #3829=ORIENTED_EDGE('',*,*,#3538,.F.); #3830=ORIENTED_EDGE('',*,*,#3529,.F.); #3831=ORIENTED_EDGE('',*,*,#3533,.F.); #3832=ORIENTED_EDGE('',*,*,#3536,.F.); #3833=ORIENTED_EDGE('',*,*,#3551,.T.); #3834=ORIENTED_EDGE('',*,*,#3552,.F.); #3835=ORIENTED_EDGE('',*,*,#3553,.T.); #3836=ORIENTED_EDGE('',*,*,#3554,.T.); #3837=ORIENTED_EDGE('',*,*,#3555,.T.); #3838=ORIENTED_EDGE('',*,*,#3556,.F.); #3839=ORIENTED_EDGE('',*,*,#3557,.T.); #3840=ORIENTED_EDGE('',*,*,#3552,.T.); #3841=ORIENTED_EDGE('',*,*,#3558,.T.); #3842=ORIENTED_EDGE('',*,*,#3559,.F.); #3843=ORIENTED_EDGE('',*,*,#3560,.T.); #3844=ORIENTED_EDGE('',*,*,#3556,.T.); #3845=ORIENTED_EDGE('',*,*,#3561,.T.); #3846=ORIENTED_EDGE('',*,*,#3554,.F.); #3847=ORIENTED_EDGE('',*,*,#3562,.T.); #3848=ORIENTED_EDGE('',*,*,#3559,.T.); #3849=ORIENTED_EDGE('',*,*,#3563,.T.); #3850=ORIENTED_EDGE('',*,*,#3564,.F.); #3851=ORIENTED_EDGE('',*,*,#3565,.T.); #3852=ORIENTED_EDGE('',*,*,#3566,.F.); #3853=ORIENTED_EDGE('',*,*,#3567,.T.); #3854=ORIENTED_EDGE('',*,*,#3568,.F.); #3855=ORIENTED_EDGE('',*,*,#3569,.T.); #3856=ORIENTED_EDGE('',*,*,#3570,.F.); #3857=ORIENTED_EDGE('',*,*,#3571,.T.); #3858=ORIENTED_EDGE('',*,*,#3572,.F.); #3859=ORIENTED_EDGE('',*,*,#3573,.T.); #3860=ORIENTED_EDGE('',*,*,#3574,.F.); #3861=ORIENTED_EDGE('',*,*,#3575,.T.); #3862=ORIENTED_EDGE('',*,*,#3576,.F.); #3863=ORIENTED_EDGE('',*,*,#3577,.T.); #3864=ORIENTED_EDGE('',*,*,#3578,.F.); #3865=ORIENTED_EDGE('',*,*,#3579,.T.); #3866=ORIENTED_EDGE('',*,*,#3580,.F.); #3867=ORIENTED_EDGE('',*,*,#3581,.T.); #3868=ORIENTED_EDGE('',*,*,#3582,.F.); #3869=ORIENTED_EDGE('',*,*,#3583,.T.); #3870=ORIENTED_EDGE('',*,*,#3584,.F.); #3871=ORIENTED_EDGE('',*,*,#3585,.T.); #3872=ORIENTED_EDGE('',*,*,#3586,.F.); #3873=ORIENTED_EDGE('',*,*,#3587,.T.); #3874=ORIENTED_EDGE('',*,*,#3588,.F.); #3875=ORIENTED_EDGE('',*,*,#3589,.T.); #3876=ORIENTED_EDGE('',*,*,#3590,.F.); #3877=ORIENTED_EDGE('',*,*,#3591,.T.); #3878=ORIENTED_EDGE('',*,*,#3592,.F.); #3879=ORIENTED_EDGE('',*,*,#3593,.T.); #3880=ORIENTED_EDGE('',*,*,#3594,.F.); #3881=ORIENTED_EDGE('',*,*,#3595,.T.); #3882=ORIENTED_EDGE('',*,*,#3596,.F.); #3883=ORIENTED_EDGE('',*,*,#3597,.T.); #3884=ORIENTED_EDGE('',*,*,#3598,.F.); #3885=ORIENTED_EDGE('',*,*,#3599,.T.); #3886=ORIENTED_EDGE('',*,*,#3600,.F.); #3887=ORIENTED_EDGE('',*,*,#3601,.T.); #3888=ORIENTED_EDGE('',*,*,#3602,.F.); #3889=ORIENTED_EDGE('',*,*,#3603,.T.); #3890=ORIENTED_EDGE('',*,*,#3604,.F.); #3891=ORIENTED_EDGE('',*,*,#3605,.T.); #3892=ORIENTED_EDGE('',*,*,#3606,.F.); #3893=ORIENTED_EDGE('',*,*,#3607,.T.); #3894=ORIENTED_EDGE('',*,*,#3608,.F.); #3895=ORIENTED_EDGE('',*,*,#3609,.T.); #3896=ORIENTED_EDGE('',*,*,#3610,.F.); #3897=ORIENTED_EDGE('',*,*,#3611,.T.); #3898=ORIENTED_EDGE('',*,*,#3612,.F.); #3899=ORIENTED_EDGE('',*,*,#3613,.T.); #3900=ORIENTED_EDGE('',*,*,#3614,.F.); #3901=ORIENTED_EDGE('',*,*,#3615,.T.); #3902=ORIENTED_EDGE('',*,*,#3616,.F.); #3903=ORIENTED_EDGE('',*,*,#3617,.T.); #3904=ORIENTED_EDGE('',*,*,#3618,.F.); #3905=ORIENTED_EDGE('',*,*,#3619,.T.); #3906=ORIENTED_EDGE('',*,*,#3620,.F.); #3907=ORIENTED_EDGE('',*,*,#3621,.T.); #3908=ORIENTED_EDGE('',*,*,#3622,.F.); #3909=ORIENTED_EDGE('',*,*,#3623,.T.); #3910=ORIENTED_EDGE('',*,*,#3624,.F.); #3911=ORIENTED_EDGE('',*,*,#3625,.T.); #3912=ORIENTED_EDGE('',*,*,#3626,.F.); #3913=ORIENTED_EDGE('',*,*,#3627,.T.); #3914=ORIENTED_EDGE('',*,*,#3628,.F.); #3915=ORIENTED_EDGE('',*,*,#3629,.T.); #3916=ORIENTED_EDGE('',*,*,#3630,.F.); #3917=ORIENTED_EDGE('',*,*,#3631,.T.); #3918=ORIENTED_EDGE('',*,*,#3632,.F.); #3919=ORIENTED_EDGE('',*,*,#3633,.T.); #3920=ORIENTED_EDGE('',*,*,#3634,.F.); #3921=ORIENTED_EDGE('',*,*,#3635,.T.); #3922=ORIENTED_EDGE('',*,*,#3636,.F.); #3923=ORIENTED_EDGE('',*,*,#3637,.T.); #3924=ORIENTED_EDGE('',*,*,#3638,.F.); #3925=ORIENTED_EDGE('',*,*,#3639,.T.); #3926=ORIENTED_EDGE('',*,*,#3640,.F.); #3927=ORIENTED_EDGE('',*,*,#3641,.T.); #3928=ORIENTED_EDGE('',*,*,#3642,.F.); #3929=ORIENTED_EDGE('',*,*,#3643,.T.); #3930=ORIENTED_EDGE('',*,*,#3644,.F.); #3931=ORIENTED_EDGE('',*,*,#3645,.T.); #3932=ORIENTED_EDGE('',*,*,#3646,.F.); #3933=ORIENTED_EDGE('',*,*,#3647,.T.); #3934=ORIENTED_EDGE('',*,*,#3648,.F.); #3935=ORIENTED_EDGE('',*,*,#3649,.T.); #3936=ORIENTED_EDGE('',*,*,#3650,.F.); #3937=ORIENTED_EDGE('',*,*,#3651,.T.); #3938=ORIENTED_EDGE('',*,*,#3652,.F.); #3939=ORIENTED_EDGE('',*,*,#3653,.T.); #3940=ORIENTED_EDGE('',*,*,#3654,.F.); #3941=ORIENTED_EDGE('',*,*,#3655,.T.); #3942=ORIENTED_EDGE('',*,*,#3656,.F.); #3943=ORIENTED_EDGE('',*,*,#3657,.T.); #3944=ORIENTED_EDGE('',*,*,#3658,.F.); #3945=ORIENTED_EDGE('',*,*,#3659,.T.); #3946=ORIENTED_EDGE('',*,*,#3660,.F.); #3947=ORIENTED_EDGE('',*,*,#3661,.T.); #3948=ORIENTED_EDGE('',*,*,#3662,.F.); #3949=ORIENTED_EDGE('',*,*,#3663,.T.); #3950=ORIENTED_EDGE('',*,*,#3664,.F.); #3951=ORIENTED_EDGE('',*,*,#3665,.T.); #3952=ORIENTED_EDGE('',*,*,#3666,.F.); #3953=ORIENTED_EDGE('',*,*,#3667,.T.); #3954=ORIENTED_EDGE('',*,*,#3668,.F.); #3955=ORIENTED_EDGE('',*,*,#3669,.T.); #3956=ORIENTED_EDGE('',*,*,#3670,.F.); #3957=ORIENTED_EDGE('',*,*,#3671,.T.); #3958=ORIENTED_EDGE('',*,*,#3672,.F.); #3959=ORIENTED_EDGE('',*,*,#3673,.T.); #3960=ORIENTED_EDGE('',*,*,#3674,.F.); #3961=ORIENTED_EDGE('',*,*,#3675,.T.); #3962=ORIENTED_EDGE('',*,*,#3676,.F.); #3963=ORIENTED_EDGE('',*,*,#3677,.T.); #3964=ORIENTED_EDGE('',*,*,#3678,.F.); #3965=ORIENTED_EDGE('',*,*,#3679,.T.); #3966=ORIENTED_EDGE('',*,*,#3680,.F.); #3967=ORIENTED_EDGE('',*,*,#3681,.T.); #3968=ORIENTED_EDGE('',*,*,#3682,.F.); #3969=ORIENTED_EDGE('',*,*,#3683,.T.); #3970=ORIENTED_EDGE('',*,*,#3684,.F.); #3971=ORIENTED_EDGE('',*,*,#3685,.T.); #3972=ORIENTED_EDGE('',*,*,#3686,.F.); #3973=ORIENTED_EDGE('',*,*,#3685,.F.); #3974=ORIENTED_EDGE('',*,*,#3683,.F.); #3975=ORIENTED_EDGE('',*,*,#3681,.F.); #3976=ORIENTED_EDGE('',*,*,#3679,.F.); #3977=ORIENTED_EDGE('',*,*,#3677,.F.); #3978=ORIENTED_EDGE('',*,*,#3675,.F.); #3979=ORIENTED_EDGE('',*,*,#3673,.F.); #3980=ORIENTED_EDGE('',*,*,#3671,.F.); #3981=ORIENTED_EDGE('',*,*,#3669,.F.); #3982=ORIENTED_EDGE('',*,*,#3667,.F.); #3983=ORIENTED_EDGE('',*,*,#3665,.F.); #3984=ORIENTED_EDGE('',*,*,#3663,.F.); #3985=ORIENTED_EDGE('',*,*,#3661,.F.); #3986=ORIENTED_EDGE('',*,*,#3659,.F.); #3987=ORIENTED_EDGE('',*,*,#3657,.F.); #3988=ORIENTED_EDGE('',*,*,#3655,.F.); #3989=ORIENTED_EDGE('',*,*,#3653,.F.); #3990=ORIENTED_EDGE('',*,*,#3651,.F.); #3991=ORIENTED_EDGE('',*,*,#3649,.F.); #3992=ORIENTED_EDGE('',*,*,#3647,.F.); #3993=ORIENTED_EDGE('',*,*,#3645,.F.); #3994=ORIENTED_EDGE('',*,*,#3643,.F.); #3995=ORIENTED_EDGE('',*,*,#3641,.F.); #3996=ORIENTED_EDGE('',*,*,#3639,.F.); #3997=ORIENTED_EDGE('',*,*,#3637,.F.); #3998=ORIENTED_EDGE('',*,*,#3635,.F.); #3999=ORIENTED_EDGE('',*,*,#3633,.F.); #4000=ORIENTED_EDGE('',*,*,#3631,.F.); #4001=ORIENTED_EDGE('',*,*,#3629,.F.); #4002=ORIENTED_EDGE('',*,*,#3627,.F.); #4003=ORIENTED_EDGE('',*,*,#3625,.F.); #4004=ORIENTED_EDGE('',*,*,#3623,.F.); #4005=ORIENTED_EDGE('',*,*,#3621,.F.); #4006=ORIENTED_EDGE('',*,*,#3619,.F.); #4007=ORIENTED_EDGE('',*,*,#3617,.F.); #4008=ORIENTED_EDGE('',*,*,#3615,.F.); #4009=ORIENTED_EDGE('',*,*,#3613,.F.); #4010=ORIENTED_EDGE('',*,*,#3611,.F.); #4011=ORIENTED_EDGE('',*,*,#3609,.F.); #4012=ORIENTED_EDGE('',*,*,#3607,.F.); #4013=ORIENTED_EDGE('',*,*,#3605,.F.); #4014=ORIENTED_EDGE('',*,*,#3603,.F.); #4015=ORIENTED_EDGE('',*,*,#3601,.F.); #4016=ORIENTED_EDGE('',*,*,#3599,.F.); #4017=ORIENTED_EDGE('',*,*,#3597,.F.); #4018=ORIENTED_EDGE('',*,*,#3595,.F.); #4019=ORIENTED_EDGE('',*,*,#3593,.F.); #4020=ORIENTED_EDGE('',*,*,#3591,.F.); #4021=ORIENTED_EDGE('',*,*,#3589,.F.); #4022=ORIENTED_EDGE('',*,*,#3587,.F.); #4023=ORIENTED_EDGE('',*,*,#3585,.F.); #4024=ORIENTED_EDGE('',*,*,#3583,.F.); #4025=ORIENTED_EDGE('',*,*,#3581,.F.); #4026=ORIENTED_EDGE('',*,*,#3579,.F.); #4027=ORIENTED_EDGE('',*,*,#3577,.F.); #4028=ORIENTED_EDGE('',*,*,#3575,.F.); #4029=ORIENTED_EDGE('',*,*,#3573,.F.); #4030=ORIENTED_EDGE('',*,*,#3571,.F.); #4031=ORIENTED_EDGE('',*,*,#3569,.F.); #4032=ORIENTED_EDGE('',*,*,#3567,.F.); #4033=ORIENTED_EDGE('',*,*,#3565,.F.); #4034=ORIENTED_EDGE('',*,*,#3563,.F.); #4035=ORIENTED_EDGE('',*,*,#3551,.F.); #4036=ORIENTED_EDGE('',*,*,#3561,.F.); #4037=ORIENTED_EDGE('',*,*,#3558,.F.); #4038=ORIENTED_EDGE('',*,*,#3555,.F.); #4039=ORIENTED_EDGE('',*,*,#3686,.T.); #4040=ORIENTED_EDGE('',*,*,#3684,.T.); #4041=ORIENTED_EDGE('',*,*,#3682,.T.); #4042=ORIENTED_EDGE('',*,*,#3680,.T.); #4043=ORIENTED_EDGE('',*,*,#3678,.T.); #4044=ORIENTED_EDGE('',*,*,#3676,.T.); #4045=ORIENTED_EDGE('',*,*,#3674,.T.); #4046=ORIENTED_EDGE('',*,*,#3672,.T.); #4047=ORIENTED_EDGE('',*,*,#3670,.T.); #4048=ORIENTED_EDGE('',*,*,#3668,.T.); #4049=ORIENTED_EDGE('',*,*,#3666,.T.); #4050=ORIENTED_EDGE('',*,*,#3664,.T.); #4051=ORIENTED_EDGE('',*,*,#3662,.T.); #4052=ORIENTED_EDGE('',*,*,#3660,.T.); #4053=ORIENTED_EDGE('',*,*,#3658,.T.); #4054=ORIENTED_EDGE('',*,*,#3656,.T.); #4055=ORIENTED_EDGE('',*,*,#3654,.T.); #4056=ORIENTED_EDGE('',*,*,#3652,.T.); #4057=ORIENTED_EDGE('',*,*,#3650,.T.); #4058=ORIENTED_EDGE('',*,*,#3648,.T.); #4059=ORIENTED_EDGE('',*,*,#3646,.T.); #4060=ORIENTED_EDGE('',*,*,#3644,.T.); #4061=ORIENTED_EDGE('',*,*,#3642,.T.); #4062=ORIENTED_EDGE('',*,*,#3640,.T.); #4063=ORIENTED_EDGE('',*,*,#3638,.T.); #4064=ORIENTED_EDGE('',*,*,#3636,.T.); #4065=ORIENTED_EDGE('',*,*,#3634,.T.); #4066=ORIENTED_EDGE('',*,*,#3632,.T.); #4067=ORIENTED_EDGE('',*,*,#3630,.T.); #4068=ORIENTED_EDGE('',*,*,#3628,.T.); #4069=ORIENTED_EDGE('',*,*,#3626,.T.); #4070=ORIENTED_EDGE('',*,*,#3624,.T.); #4071=ORIENTED_EDGE('',*,*,#3622,.T.); #4072=ORIENTED_EDGE('',*,*,#3620,.T.); #4073=ORIENTED_EDGE('',*,*,#3618,.T.); #4074=ORIENTED_EDGE('',*,*,#3616,.T.); #4075=ORIENTED_EDGE('',*,*,#3614,.T.); #4076=ORIENTED_EDGE('',*,*,#3612,.T.); #4077=ORIENTED_EDGE('',*,*,#3610,.T.); #4078=ORIENTED_EDGE('',*,*,#3608,.T.); #4079=ORIENTED_EDGE('',*,*,#3606,.T.); #4080=ORIENTED_EDGE('',*,*,#3604,.T.); #4081=ORIENTED_EDGE('',*,*,#3602,.T.); #4082=ORIENTED_EDGE('',*,*,#3600,.T.); #4083=ORIENTED_EDGE('',*,*,#3598,.T.); #4084=ORIENTED_EDGE('',*,*,#3596,.T.); #4085=ORIENTED_EDGE('',*,*,#3594,.T.); #4086=ORIENTED_EDGE('',*,*,#3592,.T.); #4087=ORIENTED_EDGE('',*,*,#3590,.T.); #4088=ORIENTED_EDGE('',*,*,#3588,.T.); #4089=ORIENTED_EDGE('',*,*,#3586,.T.); #4090=ORIENTED_EDGE('',*,*,#3584,.T.); #4091=ORIENTED_EDGE('',*,*,#3582,.T.); #4092=ORIENTED_EDGE('',*,*,#3580,.T.); #4093=ORIENTED_EDGE('',*,*,#3578,.T.); #4094=ORIENTED_EDGE('',*,*,#3576,.T.); #4095=ORIENTED_EDGE('',*,*,#3574,.T.); #4096=ORIENTED_EDGE('',*,*,#3572,.T.); #4097=ORIENTED_EDGE('',*,*,#3570,.T.); #4098=ORIENTED_EDGE('',*,*,#3568,.T.); #4099=ORIENTED_EDGE('',*,*,#3566,.T.); #4100=ORIENTED_EDGE('',*,*,#3564,.T.); #4101=ORIENTED_EDGE('',*,*,#3562,.F.); #4102=ORIENTED_EDGE('',*,*,#3553,.F.); #4103=ORIENTED_EDGE('',*,*,#3557,.F.); #4104=ORIENTED_EDGE('',*,*,#3560,.F.); #4105=ORIENTED_EDGE('',*,*,#3687,.T.); #4106=ORIENTED_EDGE('',*,*,#3688,.T.); #4107=ORIENTED_EDGE('',*,*,#3687,.F.); #4108=ORIENTED_EDGE('',*,*,#3688,.F.); #4109=EDGE_LOOP('',(#3689,#3690,#3691,#3692)); #4110=EDGE_LOOP('',(#3693,#3694,#3695,#3696)); #4111=EDGE_LOOP('',(#3697,#3698,#3699,#3700)); #4112=EDGE_LOOP('',(#3701,#3702,#3703,#3704)); #4113=EDGE_LOOP('',(#3705,#3706,#3707,#3708)); #4114=EDGE_LOOP('',(#3709,#3710,#3711,#3712)); #4115=EDGE_LOOP('',(#3713,#3714,#3715,#3716)); #4116=EDGE_LOOP('',(#3717,#3718,#3719,#3720)); #4117=EDGE_LOOP('',(#3721,#3722,#3723,#3724)); #4118=EDGE_LOOP('',(#3725,#3726,#3727,#3728)); #4119=EDGE_LOOP('',(#3729,#3730,#3731,#3732)); #4120=EDGE_LOOP('',(#3733,#3734,#3735,#3736)); #4121=EDGE_LOOP('',(#3737,#3738,#3739,#3740)); #4122=EDGE_LOOP('',(#3741,#3742,#3743,#3744)); #4123=EDGE_LOOP('',(#3745,#3746,#3747,#3748)); #4124=EDGE_LOOP('',(#3749,#3750,#3751,#3752)); #4125=EDGE_LOOP('',(#3753,#3754,#3755,#3756)); #4126=EDGE_LOOP('',(#3757,#3758,#3759,#3760)); #4127=EDGE_LOOP('',(#3761,#3762,#3763,#3764)); #4128=EDGE_LOOP('',(#3765,#3766,#3767,#3768)); #4129=EDGE_LOOP('',(#3769,#3770,#3771,#3772)); #4130=EDGE_LOOP('',(#3773,#3774,#3775,#3776)); #4131=EDGE_LOOP('',(#3777,#3778,#3779,#3780)); #4132=EDGE_LOOP('',(#3781,#3782,#3783,#3784)); #4133=EDGE_LOOP('',(#3785,#3786,#3787,#3788)); #4134=EDGE_LOOP('',(#3789,#3790,#3791,#3792)); #4135=EDGE_LOOP('',(#3793,#3794,#3795,#3796)); #4136=EDGE_LOOP('',(#3797,#3798,#3799,#3800)); #4137=EDGE_LOOP('',(#3801,#3802,#3803,#3804)); #4138=EDGE_LOOP('',(#3805,#3806,#3807,#3808)); #4139=EDGE_LOOP('',(#3809,#3810,#3811,#3812)); #4140=EDGE_LOOP('',(#3813,#3814,#3815,#3816)); #4141=EDGE_LOOP('',(#3817,#3818,#3819,#3820)); #4142=EDGE_LOOP('',(#3821,#3822,#3823,#3824)); #4143=EDGE_LOOP('',(#3825,#3826,#3827,#3828)); #4144=EDGE_LOOP('',(#3829,#3830,#3831,#3832)); #4145=EDGE_LOOP('',(#3833,#3834,#3835,#3836)); #4146=EDGE_LOOP('',(#3837,#3838,#3839,#3840)); #4147=EDGE_LOOP('',(#3841,#3842,#3843,#3844)); #4148=EDGE_LOOP('',(#3845,#3846,#3847,#3848)); #4149=EDGE_LOOP('',(#3849)); #4150=EDGE_LOOP('',(#3850)); #4151=EDGE_LOOP('',(#3851)); #4152=EDGE_LOOP('',(#3852)); #4153=EDGE_LOOP('',(#3853)); #4154=EDGE_LOOP('',(#3854)); #4155=EDGE_LOOP('',(#3855)); #4156=EDGE_LOOP('',(#3856)); #4157=EDGE_LOOP('',(#3857)); #4158=EDGE_LOOP('',(#3858)); #4159=EDGE_LOOP('',(#3859)); #4160=EDGE_LOOP('',(#3860)); #4161=EDGE_LOOP('',(#3861)); #4162=EDGE_LOOP('',(#3862)); #4163=EDGE_LOOP('',(#3863)); #4164=EDGE_LOOP('',(#3864)); #4165=EDGE_LOOP('',(#3865)); #4166=EDGE_LOOP('',(#3866)); #4167=EDGE_LOOP('',(#3867)); #4168=EDGE_LOOP('',(#3868)); #4169=EDGE_LOOP('',(#3869)); #4170=EDGE_LOOP('',(#3870)); #4171=EDGE_LOOP('',(#3871)); #4172=EDGE_LOOP('',(#3872)); #4173=EDGE_LOOP('',(#3873)); #4174=EDGE_LOOP('',(#3874)); #4175=EDGE_LOOP('',(#3875)); #4176=EDGE_LOOP('',(#3876)); #4177=EDGE_LOOP('',(#3877)); #4178=EDGE_LOOP('',(#3878)); #4179=EDGE_LOOP('',(#3879)); #4180=EDGE_LOOP('',(#3880)); #4181=EDGE_LOOP('',(#3881)); #4182=EDGE_LOOP('',(#3882)); #4183=EDGE_LOOP('',(#3883)); #4184=EDGE_LOOP('',(#3884)); #4185=EDGE_LOOP('',(#3885)); #4186=EDGE_LOOP('',(#3886)); #4187=EDGE_LOOP('',(#3887)); #4188=EDGE_LOOP('',(#3888)); #4189=EDGE_LOOP('',(#3889)); #4190=EDGE_LOOP('',(#3890)); #4191=EDGE_LOOP('',(#3891)); #4192=EDGE_LOOP('',(#3892)); #4193=EDGE_LOOP('',(#3893)); #4194=EDGE_LOOP('',(#3894)); #4195=EDGE_LOOP('',(#3895)); #4196=EDGE_LOOP('',(#3896)); #4197=EDGE_LOOP('',(#3897)); #4198=EDGE_LOOP('',(#3898)); #4199=EDGE_LOOP('',(#3899)); #4200=EDGE_LOOP('',(#3900)); #4201=EDGE_LOOP('',(#3901)); #4202=EDGE_LOOP('',(#3902)); #4203=EDGE_LOOP('',(#3903)); #4204=EDGE_LOOP('',(#3904)); #4205=EDGE_LOOP('',(#3905)); #4206=EDGE_LOOP('',(#3906)); #4207=EDGE_LOOP('',(#3907)); #4208=EDGE_LOOP('',(#3908)); #4209=EDGE_LOOP('',(#3909)); #4210=EDGE_LOOP('',(#3910)); #4211=EDGE_LOOP('',(#3911)); #4212=EDGE_LOOP('',(#3912)); #4213=EDGE_LOOP('',(#3913)); #4214=EDGE_LOOP('',(#3914)); #4215=EDGE_LOOP('',(#3915)); #4216=EDGE_LOOP('',(#3916)); #4217=EDGE_LOOP('',(#3917)); #4218=EDGE_LOOP('',(#3918)); #4219=EDGE_LOOP('',(#3919)); #4220=EDGE_LOOP('',(#3920)); #4221=EDGE_LOOP('',(#3921)); #4222=EDGE_LOOP('',(#3922)); #4223=EDGE_LOOP('',(#3923)); #4224=EDGE_LOOP('',(#3924)); #4225=EDGE_LOOP('',(#3925)); #4226=EDGE_LOOP('',(#3926)); #4227=EDGE_LOOP('',(#3927)); #4228=EDGE_LOOP('',(#3928)); #4229=EDGE_LOOP('',(#3929)); #4230=EDGE_LOOP('',(#3930)); #4231=EDGE_LOOP('',(#3931)); #4232=EDGE_LOOP('',(#3932)); #4233=EDGE_LOOP('',(#3933)); #4234=EDGE_LOOP('',(#3934)); #4235=EDGE_LOOP('',(#3935)); #4236=EDGE_LOOP('',(#3936)); #4237=EDGE_LOOP('',(#3937)); #4238=EDGE_LOOP('',(#3938)); #4239=EDGE_LOOP('',(#3939)); #4240=EDGE_LOOP('',(#3940)); #4241=EDGE_LOOP('',(#3941)); #4242=EDGE_LOOP('',(#3942)); #4243=EDGE_LOOP('',(#3943)); #4244=EDGE_LOOP('',(#3944)); #4245=EDGE_LOOP('',(#3945)); #4246=EDGE_LOOP('',(#3946)); #4247=EDGE_LOOP('',(#3947)); #4248=EDGE_LOOP('',(#3948)); #4249=EDGE_LOOP('',(#3949)); #4250=EDGE_LOOP('',(#3950)); #4251=EDGE_LOOP('',(#3951)); #4252=EDGE_LOOP('',(#3952)); #4253=EDGE_LOOP('',(#3953)); #4254=EDGE_LOOP('',(#3954)); #4255=EDGE_LOOP('',(#3955)); #4256=EDGE_LOOP('',(#3956)); #4257=EDGE_LOOP('',(#3957)); #4258=EDGE_LOOP('',(#3958)); #4259=EDGE_LOOP('',(#3959)); #4260=EDGE_LOOP('',(#3960)); #4261=EDGE_LOOP('',(#3961)); #4262=EDGE_LOOP('',(#3962)); #4263=EDGE_LOOP('',(#3963)); #4264=EDGE_LOOP('',(#3964)); #4265=EDGE_LOOP('',(#3965)); #4266=EDGE_LOOP('',(#3966)); #4267=EDGE_LOOP('',(#3967)); #4268=EDGE_LOOP('',(#3968)); #4269=EDGE_LOOP('',(#3969)); #4270=EDGE_LOOP('',(#3970)); #4271=EDGE_LOOP('',(#3971)); #4272=EDGE_LOOP('',(#3972)); #4273=EDGE_LOOP('',(#3973)); #4274=EDGE_LOOP('',(#3974)); #4275=EDGE_LOOP('',(#3975)); #4276=EDGE_LOOP('',(#3976)); #4277=EDGE_LOOP('',(#3977)); #4278=EDGE_LOOP('',(#3978)); #4279=EDGE_LOOP('',(#3979)); #4280=EDGE_LOOP('',(#3980)); #4281=EDGE_LOOP('',(#3981)); #4282=EDGE_LOOP('',(#3982)); #4283=EDGE_LOOP('',(#3983)); #4284=EDGE_LOOP('',(#3984)); #4285=EDGE_LOOP('',(#3985)); #4286=EDGE_LOOP('',(#3986)); #4287=EDGE_LOOP('',(#3987)); #4288=EDGE_LOOP('',(#3988)); #4289=EDGE_LOOP('',(#3989)); #4290=EDGE_LOOP('',(#3990)); #4291=EDGE_LOOP('',(#3991)); #4292=EDGE_LOOP('',(#3992)); #4293=EDGE_LOOP('',(#3993)); #4294=EDGE_LOOP('',(#3994)); #4295=EDGE_LOOP('',(#3995)); #4296=EDGE_LOOP('',(#3996)); #4297=EDGE_LOOP('',(#3997)); #4298=EDGE_LOOP('',(#3998)); #4299=EDGE_LOOP('',(#3999)); #4300=EDGE_LOOP('',(#4000)); #4301=EDGE_LOOP('',(#4001)); #4302=EDGE_LOOP('',(#4002)); #4303=EDGE_LOOP('',(#4003)); #4304=EDGE_LOOP('',(#4004)); #4305=EDGE_LOOP('',(#4005)); #4306=EDGE_LOOP('',(#4006)); #4307=EDGE_LOOP('',(#4007)); #4308=EDGE_LOOP('',(#4008)); #4309=EDGE_LOOP('',(#4009)); #4310=EDGE_LOOP('',(#4010)); #4311=EDGE_LOOP('',(#4011)); #4312=EDGE_LOOP('',(#4012)); #4313=EDGE_LOOP('',(#4013)); #4314=EDGE_LOOP('',(#4014)); #4315=EDGE_LOOP('',(#4015)); #4316=EDGE_LOOP('',(#4016)); #4317=EDGE_LOOP('',(#4017)); #4318=EDGE_LOOP('',(#4018)); #4319=EDGE_LOOP('',(#4019)); #4320=EDGE_LOOP('',(#4020)); #4321=EDGE_LOOP('',(#4021)); #4322=EDGE_LOOP('',(#4022)); #4323=EDGE_LOOP('',(#4023)); #4324=EDGE_LOOP('',(#4024)); #4325=EDGE_LOOP('',(#4025)); #4326=EDGE_LOOP('',(#4026)); #4327=EDGE_LOOP('',(#4027)); #4328=EDGE_LOOP('',(#4028)); #4329=EDGE_LOOP('',(#4029)); #4330=EDGE_LOOP('',(#4030)); #4331=EDGE_LOOP('',(#4031)); #4332=EDGE_LOOP('',(#4032)); #4333=EDGE_LOOP('',(#4033)); #4334=EDGE_LOOP('',(#4034)); #4335=EDGE_LOOP('',(#4035,#4036,#4037,#4038)); #4336=EDGE_LOOP('',(#4039)); #4337=EDGE_LOOP('',(#4040)); #4338=EDGE_LOOP('',(#4041)); #4339=EDGE_LOOP('',(#4042)); #4340=EDGE_LOOP('',(#4043)); #4341=EDGE_LOOP('',(#4044)); #4342=EDGE_LOOP('',(#4045)); #4343=EDGE_LOOP('',(#4046)); #4344=EDGE_LOOP('',(#4047)); #4345=EDGE_LOOP('',(#4048)); #4346=EDGE_LOOP('',(#4049)); #4347=EDGE_LOOP('',(#4050)); #4348=EDGE_LOOP('',(#4051)); #4349=EDGE_LOOP('',(#4052)); #4350=EDGE_LOOP('',(#4053)); #4351=EDGE_LOOP('',(#4054)); #4352=EDGE_LOOP('',(#4055)); #4353=EDGE_LOOP('',(#4056)); #4354=EDGE_LOOP('',(#4057)); #4355=EDGE_LOOP('',(#4058)); #4356=EDGE_LOOP('',(#4059)); #4357=EDGE_LOOP('',(#4060)); #4358=EDGE_LOOP('',(#4061)); #4359=EDGE_LOOP('',(#4062)); #4360=EDGE_LOOP('',(#4063)); #4361=EDGE_LOOP('',(#4064)); #4362=EDGE_LOOP('',(#4065)); #4363=EDGE_LOOP('',(#4066)); #4364=EDGE_LOOP('',(#4067)); #4365=EDGE_LOOP('',(#4068)); #4366=EDGE_LOOP('',(#4069)); #4367=EDGE_LOOP('',(#4070)); #4368=EDGE_LOOP('',(#4071)); #4369=EDGE_LOOP('',(#4072)); #4370=EDGE_LOOP('',(#4073)); #4371=EDGE_LOOP('',(#4074)); #4372=EDGE_LOOP('',(#4075)); #4373=EDGE_LOOP('',(#4076)); #4374=EDGE_LOOP('',(#4077)); #4375=EDGE_LOOP('',(#4078)); #4376=EDGE_LOOP('',(#4079)); #4377=EDGE_LOOP('',(#4080)); #4378=EDGE_LOOP('',(#4081)); #4379=EDGE_LOOP('',(#4082)); #4380=EDGE_LOOP('',(#4083)); #4381=EDGE_LOOP('',(#4084)); #4382=EDGE_LOOP('',(#4085)); #4383=EDGE_LOOP('',(#4086)); #4384=EDGE_LOOP('',(#4087)); #4385=EDGE_LOOP('',(#4088)); #4386=EDGE_LOOP('',(#4089)); #4387=EDGE_LOOP('',(#4090)); #4388=EDGE_LOOP('',(#4091)); #4389=EDGE_LOOP('',(#4092)); #4390=EDGE_LOOP('',(#4093)); #4391=EDGE_LOOP('',(#4094)); #4392=EDGE_LOOP('',(#4095)); #4393=EDGE_LOOP('',(#4096)); #4394=EDGE_LOOP('',(#4097)); #4395=EDGE_LOOP('',(#4098)); #4396=EDGE_LOOP('',(#4099)); #4397=EDGE_LOOP('',(#4100)); #4398=EDGE_LOOP('',(#4101,#4102,#4103,#4104)); #4399=EDGE_LOOP('',(#4105)); #4400=EDGE_LOOP('',(#4106)); #4401=EDGE_LOOP('',(#4107)); #4402=EDGE_LOOP('',(#4108)); #4403=FACE_BOUND('',#4109,.T.); #4404=FACE_BOUND('',#4110,.T.); #4405=FACE_BOUND('',#4111,.T.); #4406=FACE_BOUND('',#4112,.T.); #4407=FACE_BOUND('',#4113,.T.); #4408=FACE_BOUND('',#4114,.T.); #4409=FACE_BOUND('',#4115,.T.); #4410=FACE_BOUND('',#4116,.T.); #4411=FACE_BOUND('',#4117,.T.); #4412=FACE_BOUND('',#4118,.T.); #4413=FACE_BOUND('',#4119,.T.); #4414=FACE_BOUND('',#4120,.T.); #4415=FACE_BOUND('',#4121,.T.); #4416=FACE_BOUND('',#4122,.T.); #4417=FACE_BOUND('',#4123,.T.); #4418=FACE_BOUND('',#4124,.T.); #4419=FACE_BOUND('',#4125,.T.); #4420=FACE_BOUND('',#4126,.T.); #4421=FACE_BOUND('',#4127,.T.); #4422=FACE_BOUND('',#4128,.T.); #4423=FACE_BOUND('',#4129,.T.); #4424=FACE_BOUND('',#4130,.T.); #4425=FACE_BOUND('',#4131,.T.); #4426=FACE_BOUND('',#4132,.T.); #4427=FACE_BOUND('',#4133,.T.); #4428=FACE_BOUND('',#4134,.T.); #4429=FACE_BOUND('',#4135,.T.); #4430=FACE_BOUND('',#4136,.T.); #4431=FACE_BOUND('',#4137,.T.); #4432=FACE_BOUND('',#4138,.T.); #4433=FACE_BOUND('',#4139,.T.); #4434=FACE_BOUND('',#4140,.T.); #4435=FACE_BOUND('',#4141,.T.); #4436=FACE_BOUND('',#4142,.T.); #4437=FACE_BOUND('',#4143,.T.); #4438=FACE_BOUND('',#4144,.T.); #4439=FACE_BOUND('',#4145,.T.); #4440=FACE_BOUND('',#4146,.T.); #4441=FACE_BOUND('',#4147,.T.); #4442=FACE_BOUND('',#4148,.T.); #4443=FACE_BOUND('',#4149,.T.); #4444=FACE_BOUND('',#4150,.T.); #4445=FACE_BOUND('',#4151,.T.); #4446=FACE_BOUND('',#4152,.T.); #4447=FACE_BOUND('',#4153,.T.); #4448=FACE_BOUND('',#4154,.T.); #4449=FACE_BOUND('',#4155,.T.); #4450=FACE_BOUND('',#4156,.T.); #4451=FACE_BOUND('',#4157,.T.); #4452=FACE_BOUND('',#4158,.T.); #4453=FACE_BOUND('',#4159,.T.); #4454=FACE_BOUND('',#4160,.T.); #4455=FACE_BOUND('',#4161,.T.); #4456=FACE_BOUND('',#4162,.T.); #4457=FACE_BOUND('',#4163,.T.); #4458=FACE_BOUND('',#4164,.T.); #4459=FACE_BOUND('',#4165,.T.); #4460=FACE_BOUND('',#4166,.T.); #4461=FACE_BOUND('',#4167,.T.); #4462=FACE_BOUND('',#4168,.T.); #4463=FACE_BOUND('',#4169,.T.); #4464=FACE_BOUND('',#4170,.T.); #4465=FACE_BOUND('',#4171,.T.); #4466=FACE_BOUND('',#4172,.T.); #4467=FACE_BOUND('',#4173,.T.); #4468=FACE_BOUND('',#4174,.T.); #4469=FACE_BOUND('',#4175,.T.); #4470=FACE_BOUND('',#4176,.T.); #4471=FACE_BOUND('',#4177,.T.); #4472=FACE_BOUND('',#4178,.T.); #4473=FACE_BOUND('',#4179,.T.); #4474=FACE_BOUND('',#4180,.T.); #4475=FACE_BOUND('',#4181,.T.); #4476=FACE_BOUND('',#4182,.T.); #4477=FACE_BOUND('',#4183,.T.); #4478=FACE_BOUND('',#4184,.T.); #4479=FACE_BOUND('',#4185,.T.); #4480=FACE_BOUND('',#4186,.T.); #4481=FACE_BOUND('',#4187,.T.); #4482=FACE_BOUND('',#4188,.T.); #4483=FACE_BOUND('',#4189,.T.); #4484=FACE_BOUND('',#4190,.T.); #4485=FACE_BOUND('',#4191,.T.); #4486=FACE_BOUND('',#4192,.T.); #4487=FACE_BOUND('',#4193,.T.); #4488=FACE_BOUND('',#4194,.T.); #4489=FACE_BOUND('',#4195,.T.); #4490=FACE_BOUND('',#4196,.T.); #4491=FACE_BOUND('',#4197,.T.); #4492=FACE_BOUND('',#4198,.T.); #4493=FACE_BOUND('',#4199,.T.); #4494=FACE_BOUND('',#4200,.T.); #4495=FACE_BOUND('',#4201,.T.); #4496=FACE_BOUND('',#4202,.T.); #4497=FACE_BOUND('',#4203,.T.); #4498=FACE_BOUND('',#4204,.T.); #4499=FACE_BOUND('',#4205,.T.); #4500=FACE_BOUND('',#4206,.T.); #4501=FACE_BOUND('',#4207,.T.); #4502=FACE_BOUND('',#4208,.T.); #4503=FACE_BOUND('',#4209,.T.); #4504=FACE_BOUND('',#4210,.T.); #4505=FACE_BOUND('',#4211,.T.); #4506=FACE_BOUND('',#4212,.T.); #4507=FACE_BOUND('',#4213,.T.); #4508=FACE_BOUND('',#4214,.T.); #4509=FACE_BOUND('',#4215,.T.); #4510=FACE_BOUND('',#4216,.T.); #4511=FACE_BOUND('',#4217,.T.); #4512=FACE_BOUND('',#4218,.T.); #4513=FACE_BOUND('',#4219,.T.); #4514=FACE_BOUND('',#4220,.T.); #4515=FACE_BOUND('',#4221,.T.); #4516=FACE_BOUND('',#4222,.T.); #4517=FACE_BOUND('',#4223,.T.); #4518=FACE_BOUND('',#4224,.T.); #4519=FACE_BOUND('',#4225,.T.); #4520=FACE_BOUND('',#4226,.T.); #4521=FACE_BOUND('',#4227,.T.); #4522=FACE_BOUND('',#4228,.T.); #4523=FACE_BOUND('',#4229,.T.); #4524=FACE_BOUND('',#4230,.T.); #4525=FACE_BOUND('',#4231,.T.); #4526=FACE_BOUND('',#4232,.T.); #4527=FACE_BOUND('',#4233,.T.); #4528=FACE_BOUND('',#4234,.T.); #4529=FACE_BOUND('',#4235,.T.); #4530=FACE_BOUND('',#4236,.T.); #4531=FACE_BOUND('',#4237,.T.); #4532=FACE_BOUND('',#4238,.T.); #4533=FACE_BOUND('',#4239,.T.); #4534=FACE_BOUND('',#4240,.T.); #4535=FACE_BOUND('',#4241,.T.); #4536=FACE_BOUND('',#4242,.T.); #4537=FACE_BOUND('',#4243,.T.); #4538=FACE_BOUND('',#4244,.T.); #4539=FACE_BOUND('',#4245,.T.); #4540=FACE_BOUND('',#4246,.T.); #4541=FACE_BOUND('',#4247,.T.); #4542=FACE_BOUND('',#4248,.T.); #4543=FACE_BOUND('',#4249,.T.); #4544=FACE_BOUND('',#4250,.T.); #4545=FACE_BOUND('',#4251,.T.); #4546=FACE_BOUND('',#4252,.T.); #4547=FACE_BOUND('',#4253,.T.); #4548=FACE_BOUND('',#4254,.T.); #4549=FACE_BOUND('',#4255,.T.); #4550=FACE_BOUND('',#4256,.T.); #4551=FACE_BOUND('',#4257,.T.); #4552=FACE_BOUND('',#4258,.T.); #4553=FACE_BOUND('',#4259,.T.); #4554=FACE_BOUND('',#4260,.T.); #4555=FACE_BOUND('',#4261,.T.); #4556=FACE_BOUND('',#4262,.T.); #4557=FACE_BOUND('',#4263,.T.); #4558=FACE_BOUND('',#4264,.T.); #4559=FACE_BOUND('',#4265,.T.); #4560=FACE_BOUND('',#4266,.T.); #4561=FACE_BOUND('',#4267,.T.); #4562=FACE_BOUND('',#4268,.T.); #4563=FACE_BOUND('',#4269,.T.); #4564=FACE_BOUND('',#4270,.T.); #4565=FACE_BOUND('',#4271,.T.); #4566=FACE_BOUND('',#4272,.T.); #4567=FACE_BOUND('',#4273,.T.); #4568=FACE_BOUND('',#4274,.T.); #4569=FACE_BOUND('',#4275,.T.); #4570=FACE_BOUND('',#4276,.T.); #4571=FACE_BOUND('',#4277,.T.); #4572=FACE_BOUND('',#4278,.T.); #4573=FACE_BOUND('',#4279,.T.); #4574=FACE_BOUND('',#4280,.T.); #4575=FACE_BOUND('',#4281,.T.); #4576=FACE_BOUND('',#4282,.T.); #4577=FACE_BOUND('',#4283,.T.); #4578=FACE_BOUND('',#4284,.T.); #4579=FACE_BOUND('',#4285,.T.); #4580=FACE_BOUND('',#4286,.T.); #4581=FACE_BOUND('',#4287,.T.); #4582=FACE_BOUND('',#4288,.T.); #4583=FACE_BOUND('',#4289,.T.); #4584=FACE_BOUND('',#4290,.T.); #4585=FACE_BOUND('',#4291,.T.); #4586=FACE_BOUND('',#4292,.T.); #4587=FACE_BOUND('',#4293,.T.); #4588=FACE_BOUND('',#4294,.T.); #4589=FACE_BOUND('',#4295,.T.); #4590=FACE_BOUND('',#4296,.T.); #4591=FACE_BOUND('',#4297,.T.); #4592=FACE_BOUND('',#4298,.T.); #4593=FACE_BOUND('',#4299,.T.); #4594=FACE_BOUND('',#4300,.T.); #4595=FACE_BOUND('',#4301,.T.); #4596=FACE_BOUND('',#4302,.T.); #4597=FACE_BOUND('',#4303,.T.); #4598=FACE_BOUND('',#4304,.T.); #4599=FACE_BOUND('',#4305,.T.); #4600=FACE_BOUND('',#4306,.T.); #4601=FACE_BOUND('',#4307,.T.); #4602=FACE_BOUND('',#4308,.T.); #4603=FACE_BOUND('',#4309,.T.); #4604=FACE_BOUND('',#4310,.T.); #4605=FACE_BOUND('',#4311,.T.); #4606=FACE_BOUND('',#4312,.T.); #4607=FACE_BOUND('',#4313,.T.); #4608=FACE_BOUND('',#4314,.T.); #4609=FACE_BOUND('',#4315,.T.); #4610=FACE_BOUND('',#4316,.T.); #4611=FACE_BOUND('',#4317,.T.); #4612=FACE_BOUND('',#4318,.T.); #4613=FACE_BOUND('',#4319,.T.); #4614=FACE_BOUND('',#4320,.T.); #4615=FACE_BOUND('',#4321,.T.); #4616=FACE_BOUND('',#4322,.T.); #4617=FACE_BOUND('',#4323,.T.); #4618=FACE_BOUND('',#4324,.T.); #4619=FACE_BOUND('',#4325,.T.); #4620=FACE_BOUND('',#4326,.T.); #4621=FACE_BOUND('',#4327,.T.); #4622=FACE_BOUND('',#4328,.T.); #4623=FACE_BOUND('',#4329,.T.); #4624=FACE_BOUND('',#4330,.T.); #4625=FACE_BOUND('',#4331,.T.); #4626=FACE_BOUND('',#4332,.T.); #4627=FACE_BOUND('',#4333,.T.); #4628=FACE_BOUND('',#4334,.T.); #4629=FACE_BOUND('',#4335,.T.); #4630=FACE_BOUND('',#4336,.T.); #4631=FACE_BOUND('',#4337,.T.); #4632=FACE_BOUND('',#4338,.T.); #4633=FACE_BOUND('',#4339,.T.); #4634=FACE_BOUND('',#4340,.T.); #4635=FACE_BOUND('',#4341,.T.); #4636=FACE_BOUND('',#4342,.T.); #4637=FACE_BOUND('',#4343,.T.); #4638=FACE_BOUND('',#4344,.T.); #4639=FACE_BOUND('',#4345,.T.); #4640=FACE_BOUND('',#4346,.T.); #4641=FACE_BOUND('',#4347,.T.); #4642=FACE_BOUND('',#4348,.T.); #4643=FACE_BOUND('',#4349,.T.); #4644=FACE_BOUND('',#4350,.T.); #4645=FACE_BOUND('',#4351,.T.); #4646=FACE_BOUND('',#4352,.T.); #4647=FACE_BOUND('',#4353,.T.); #4648=FACE_BOUND('',#4354,.T.); #4649=FACE_BOUND('',#4355,.T.); #4650=FACE_BOUND('',#4356,.T.); #4651=FACE_BOUND('',#4357,.T.); #4652=FACE_BOUND('',#4358,.T.); #4653=FACE_BOUND('',#4359,.T.); #4654=FACE_BOUND('',#4360,.T.); #4655=FACE_BOUND('',#4361,.T.); #4656=FACE_BOUND('',#4362,.T.); #4657=FACE_BOUND('',#4363,.T.); #4658=FACE_BOUND('',#4364,.T.); #4659=FACE_BOUND('',#4365,.T.); #4660=FACE_BOUND('',#4366,.T.); #4661=FACE_BOUND('',#4367,.T.); #4662=FACE_BOUND('',#4368,.T.); #4663=FACE_BOUND('',#4369,.T.); #4664=FACE_BOUND('',#4370,.T.); #4665=FACE_BOUND('',#4371,.T.); #4666=FACE_BOUND('',#4372,.T.); #4667=FACE_BOUND('',#4373,.T.); #4668=FACE_BOUND('',#4374,.T.); #4669=FACE_BOUND('',#4375,.T.); #4670=FACE_BOUND('',#4376,.T.); #4671=FACE_BOUND('',#4377,.T.); #4672=FACE_BOUND('',#4378,.T.); #4673=FACE_BOUND('',#4379,.T.); #4674=FACE_BOUND('',#4380,.T.); #4675=FACE_BOUND('',#4381,.T.); #4676=FACE_BOUND('',#4382,.T.); #4677=FACE_BOUND('',#4383,.T.); #4678=FACE_BOUND('',#4384,.T.); #4679=FACE_BOUND('',#4385,.T.); #4680=FACE_BOUND('',#4386,.T.); #4681=FACE_BOUND('',#4387,.T.); #4682=FACE_BOUND('',#4388,.T.); #4683=FACE_BOUND('',#4389,.T.); #4684=FACE_BOUND('',#4390,.T.); #4685=FACE_BOUND('',#4391,.T.); #4686=FACE_BOUND('',#4392,.T.); #4687=FACE_BOUND('',#4393,.T.); #4688=FACE_BOUND('',#4394,.T.); #4689=FACE_BOUND('',#4395,.T.); #4690=FACE_BOUND('',#4396,.T.); #4691=FACE_BOUND('',#4397,.T.); #4692=FACE_BOUND('',#4398,.T.); #4693=FACE_BOUND('',#4399,.T.); #4694=FACE_BOUND('',#4400,.T.); #4695=FACE_BOUND('',#4401,.T.); #4696=FACE_BOUND('',#4402,.T.); #4697=ADVANCED_FACE('',(#4403),#6698,.T.); #4698=ADVANCED_FACE('',(#4404),#6699,.T.); #4699=ADVANCED_FACE('',(#4405),#6700,.T.); #4700=ADVANCED_FACE('',(#4406),#6701,.T.); #4701=ADVANCED_FACE('',(#4407),#6702,.T.); #4702=ADVANCED_FACE('',(#4408),#6703,.T.); #4703=ADVANCED_FACE('',(#4409),#6704,.T.); #4704=ADVANCED_FACE('',(#4410),#6705,.T.); #4705=ADVANCED_FACE('',(#4411,#4412),#6706,.T.); #4706=ADVANCED_FACE('',(#4413,#4414),#6707,.F.); #4707=ADVANCED_FACE('',(#4415),#6708,.T.); #4708=ADVANCED_FACE('',(#4416),#6709,.T.); #4709=ADVANCED_FACE('',(#4417),#6710,.T.); #4710=ADVANCED_FACE('',(#4418),#6711,.T.); #4711=ADVANCED_FACE('',(#4419),#6712,.T.); #4712=ADVANCED_FACE('',(#4420),#6713,.T.); #4713=ADVANCED_FACE('',(#4421),#6714,.T.); #4714=ADVANCED_FACE('',(#4422),#6715,.T.); #4715=ADVANCED_FACE('',(#4423,#4424),#6716,.T.); #4716=ADVANCED_FACE('',(#4425,#4426),#6717,.F.); #4717=ADVANCED_FACE('',(#4427),#6718,.T.); #4718=ADVANCED_FACE('',(#4428),#6719,.T.); #4719=ADVANCED_FACE('',(#4429),#6720,.T.); #4720=ADVANCED_FACE('',(#4430),#6721,.T.); #4721=ADVANCED_FACE('',(#4431),#6722,.T.); #4722=ADVANCED_FACE('',(#4432),#6723,.T.); #4723=ADVANCED_FACE('',(#4433),#6724,.T.); #4724=ADVANCED_FACE('',(#4434),#6725,.T.); #4725=ADVANCED_FACE('',(#4435,#4436),#6726,.T.); #4726=ADVANCED_FACE('',(#4437,#4438),#6727,.F.); #4727=ADVANCED_FACE('',(#4439),#6728,.T.); #4728=ADVANCED_FACE('',(#4440),#6729,.T.); #4729=ADVANCED_FACE('',(#4441),#6730,.T.); #4730=ADVANCED_FACE('',(#4442),#6731,.T.); #4731=ADVANCED_FACE('',(#4443,#4444),#136,.F.); #4732=ADVANCED_FACE('',(#4445,#4446),#137,.F.); #4733=ADVANCED_FACE('',(#4447,#4448),#138,.F.); #4734=ADVANCED_FACE('',(#4449,#4450),#139,.F.); #4735=ADVANCED_FACE('',(#4451,#4452),#140,.F.); #4736=ADVANCED_FACE('',(#4453,#4454),#141,.F.); #4737=ADVANCED_FACE('',(#4455,#4456),#142,.F.); #4738=ADVANCED_FACE('',(#4457,#4458),#143,.F.); #4739=ADVANCED_FACE('',(#4459,#4460),#144,.F.); #4740=ADVANCED_FACE('',(#4461,#4462),#145,.F.); #4741=ADVANCED_FACE('',(#4463,#4464),#146,.F.); #4742=ADVANCED_FACE('',(#4465,#4466),#147,.F.); #4743=ADVANCED_FACE('',(#4467,#4468),#148,.F.); #4744=ADVANCED_FACE('',(#4469,#4470),#149,.F.); #4745=ADVANCED_FACE('',(#4471,#4472),#150,.F.); #4746=ADVANCED_FACE('',(#4473,#4474),#151,.F.); #4747=ADVANCED_FACE('',(#4475,#4476),#152,.F.); #4748=ADVANCED_FACE('',(#4477,#4478),#153,.F.); #4749=ADVANCED_FACE('',(#4479,#4480),#154,.F.); #4750=ADVANCED_FACE('',(#4481,#4482),#155,.F.); #4751=ADVANCED_FACE('',(#4483,#4484),#156,.F.); #4752=ADVANCED_FACE('',(#4485,#4486),#157,.F.); #4753=ADVANCED_FACE('',(#4487,#4488),#158,.F.); #4754=ADVANCED_FACE('',(#4489,#4490),#159,.F.); #4755=ADVANCED_FACE('',(#4491,#4492),#160,.F.); #4756=ADVANCED_FACE('',(#4493,#4494),#161,.F.); #4757=ADVANCED_FACE('',(#4495,#4496),#162,.F.); #4758=ADVANCED_FACE('',(#4497,#4498),#163,.F.); #4759=ADVANCED_FACE('',(#4499,#4500),#164,.F.); #4760=ADVANCED_FACE('',(#4501,#4502),#165,.F.); #4761=ADVANCED_FACE('',(#4503,#4504),#166,.F.); #4762=ADVANCED_FACE('',(#4505,#4506),#167,.F.); #4763=ADVANCED_FACE('',(#4507,#4508),#168,.F.); #4764=ADVANCED_FACE('',(#4509,#4510),#169,.F.); #4765=ADVANCED_FACE('',(#4511,#4512),#170,.F.); #4766=ADVANCED_FACE('',(#4513,#4514),#171,.F.); #4767=ADVANCED_FACE('',(#4515,#4516),#172,.F.); #4768=ADVANCED_FACE('',(#4517,#4518),#173,.F.); #4769=ADVANCED_FACE('',(#4519,#4520),#174,.F.); #4770=ADVANCED_FACE('',(#4521,#4522),#175,.F.); #4771=ADVANCED_FACE('',(#4523,#4524),#176,.F.); #4772=ADVANCED_FACE('',(#4525,#4526),#177,.F.); #4773=ADVANCED_FACE('',(#4527,#4528),#178,.F.); #4774=ADVANCED_FACE('',(#4529,#4530),#179,.F.); #4775=ADVANCED_FACE('',(#4531,#4532),#180,.F.); #4776=ADVANCED_FACE('',(#4533,#4534),#181,.F.); #4777=ADVANCED_FACE('',(#4535,#4536),#182,.F.); #4778=ADVANCED_FACE('',(#4537,#4538),#183,.F.); #4779=ADVANCED_FACE('',(#4539,#4540),#184,.F.); #4780=ADVANCED_FACE('',(#4541,#4542),#185,.F.); #4781=ADVANCED_FACE('',(#4543,#4544),#186,.F.); #4782=ADVANCED_FACE('',(#4545,#4546),#187,.F.); #4783=ADVANCED_FACE('',(#4547,#4548),#188,.F.); #4784=ADVANCED_FACE('',(#4549,#4550),#189,.F.); #4785=ADVANCED_FACE('',(#4551,#4552),#190,.F.); #4786=ADVANCED_FACE('',(#4553,#4554),#191,.F.); #4787=ADVANCED_FACE('',(#4555,#4556),#192,.F.); #4788=ADVANCED_FACE('',(#4557,#4558),#193,.F.); #4789=ADVANCED_FACE('',(#4559,#4560),#194,.F.); #4790=ADVANCED_FACE('',(#4561,#4562),#195,.F.); #4791=ADVANCED_FACE('',(#4563,#4564),#196,.F.); #4792=ADVANCED_FACE('',(#4565,#4566),#197,.F.); #4793=ADVANCED_FACE('',(#4567,#4568,#4569,#4570,#4571,#4572,#4573,#4574, #4575,#4576,#4577,#4578,#4579,#4580,#4581,#4582,#4583,#4584,#4585,#4586, #4587,#4588,#4589,#4590,#4591,#4592,#4593,#4594,#4595,#4596,#4597,#4598, #4599,#4600,#4601,#4602,#4603,#4604,#4605,#4606,#4607,#4608,#4609,#4610, #4611,#4612,#4613,#4614,#4615,#4616,#4617,#4618,#4619,#4620,#4621,#4622, #4623,#4624,#4625,#4626,#4627,#4628,#4629),#6732,.T.); #4794=ADVANCED_FACE('',(#4630,#4631,#4632,#4633,#4634,#4635,#4636,#4637, #4638,#4639,#4640,#4641,#4642,#4643,#4644,#4645,#4646,#4647,#4648,#4649, #4650,#4651,#4652,#4653,#4654,#4655,#4656,#4657,#4658,#4659,#4660,#4661, #4662,#4663,#4664,#4665,#4666,#4667,#4668,#4669,#4670,#4671,#4672,#4673, #4674,#4675,#4676,#4677,#4678,#4679,#4680,#4681,#4682,#4683,#4684,#4685, #4686,#4687,#4688,#4689,#4690,#4691,#4692),#6733,.F.); #4795=ADVANCED_FACE('',(#4693,#4694),#198,.T.); #4796=ADVANCED_FACE('',(#4695),#6734,.T.); #4797=ADVANCED_FACE('',(#4696),#6735,.F.); #4798=AXIS2_PLACEMENT_3D('',#6035,#5195,$); #4799=AXIS2_PLACEMENT_3D('',#6044,#5200,$); #4800=AXIS2_PLACEMENT_3D('',#6050,#5204,$); #4801=AXIS2_PLACEMENT_3D('',#6056,#5208,$); #4802=AXIS2_PLACEMENT_3D('',#6059,#5211,$); #4803=AXIS2_PLACEMENT_3D('',#6068,#5216,$); #4804=AXIS2_PLACEMENT_3D('',#6074,#5220,$); #4805=AXIS2_PLACEMENT_3D('',#6080,#5224,$); #4806=AXIS2_PLACEMENT_3D('',#6083,#5227,$); #4807=AXIS2_PLACEMENT_3D('',#6084,#5228,$); #4808=AXIS2_PLACEMENT_3D('',#6085,#5229,$); #4809=AXIS2_PLACEMENT_3D('',#6094,#5234,$); #4810=AXIS2_PLACEMENT_3D('',#6100,#5238,$); #4811=AXIS2_PLACEMENT_3D('',#6106,#5242,$); #4812=AXIS2_PLACEMENT_3D('',#6109,#5245,$); #4813=AXIS2_PLACEMENT_3D('',#6118,#5250,$); #4814=AXIS2_PLACEMENT_3D('',#6124,#5254,$); #4815=AXIS2_PLACEMENT_3D('',#6130,#5258,$); #4816=AXIS2_PLACEMENT_3D('',#6133,#5261,$); #4817=AXIS2_PLACEMENT_3D('',#6134,#5262,$); #4818=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_1',#6135,#5263,#5264); #4819=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_5',#6136,#5265,#5266); #4820=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_11',#6137,#5267,#5268); #4821=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_15',#6138,#5269,#5270); #4822=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_21',#6139,#5271,#5272); #4823=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_25',#6140,#5273,#5274); #4824=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_31',#6141,#5275,#5276); #4825=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_35',#6142,#5277,#5278); #4826=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_41',#6143,#5279,#5280); #4827=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_45',#6144,#5281,#5282); #4828=AXIS2_PLACEMENT_3D('',#6145,#5283,$); #4829=AXIS2_PLACEMENT_3D('',#6154,#5288,$); #4830=AXIS2_PLACEMENT_3D('',#6160,#5292,$); #4831=AXIS2_PLACEMENT_3D('',#6166,#5296,$); #4832=AXIS2_PLACEMENT_3D('',#6169,#5299,$); #4833=AXIS2_PLACEMENT_3D('',#6178,#5304,$); #4834=AXIS2_PLACEMENT_3D('',#6184,#5308,$); #4835=AXIS2_PLACEMENT_3D('',#6190,#5312,$); #4836=AXIS2_PLACEMENT_3D('',#6193,#5315,$); #4837=AXIS2_PLACEMENT_3D('',#6194,#5316,$); #4838=AXIS2_PLACEMENT_3D('',#6195,#5317,$); #4839=AXIS2_PLACEMENT_3D('',#6204,#5322,$); #4840=AXIS2_PLACEMENT_3D('',#6210,#5326,$); #4841=AXIS2_PLACEMENT_3D('',#6216,#5330,$); #4842=AXIS2_PLACEMENT_3D('',#6219,#5333,#5334); #4843=AXIS2_PLACEMENT_3D('',#6220,#5335,#5336); #4844=AXIS2_PLACEMENT_3D('',#6222,#5337,#5338); #4845=AXIS2_PLACEMENT_3D('',#6224,#5339,#5340); #4846=AXIS2_PLACEMENT_3D('',#6225,#5341,#5342); #4847=AXIS2_PLACEMENT_3D('',#6227,#5343,#5344); #4848=AXIS2_PLACEMENT_3D('',#6229,#5345,#5346); #4849=AXIS2_PLACEMENT_3D('',#6230,#5347,#5348); #4850=AXIS2_PLACEMENT_3D('',#6232,#5349,#5350); #4851=AXIS2_PLACEMENT_3D('',#6234,#5351,#5352); #4852=AXIS2_PLACEMENT_3D('',#6235,#5353,#5354); #4853=AXIS2_PLACEMENT_3D('',#6237,#5355,#5356); #4854=AXIS2_PLACEMENT_3D('',#6239,#5357,#5358); #4855=AXIS2_PLACEMENT_3D('',#6240,#5359,#5360); #4856=AXIS2_PLACEMENT_3D('',#6242,#5361,#5362); #4857=AXIS2_PLACEMENT_3D('',#6244,#5363,#5364); #4858=AXIS2_PLACEMENT_3D('',#6245,#5365,#5366); #4859=AXIS2_PLACEMENT_3D('',#6247,#5367,#5368); #4860=AXIS2_PLACEMENT_3D('',#6249,#5369,#5370); #4861=AXIS2_PLACEMENT_3D('',#6250,#5371,#5372); #4862=AXIS2_PLACEMENT_3D('',#6252,#5373,#5374); #4863=AXIS2_PLACEMENT_3D('',#6254,#5375,#5376); #4864=AXIS2_PLACEMENT_3D('',#6255,#5377,#5378); #4865=AXIS2_PLACEMENT_3D('',#6257,#5379,#5380); #4866=AXIS2_PLACEMENT_3D('',#6259,#5381,#5382); #4867=AXIS2_PLACEMENT_3D('',#6260,#5383,#5384); #4868=AXIS2_PLACEMENT_3D('',#6262,#5385,#5386); #4869=AXIS2_PLACEMENT_3D('',#6264,#5387,#5388); #4870=AXIS2_PLACEMENT_3D('',#6265,#5389,#5390); #4871=AXIS2_PLACEMENT_3D('',#6267,#5391,#5392); #4872=AXIS2_PLACEMENT_3D('',#6269,#5393,#5394); #4873=AXIS2_PLACEMENT_3D('',#6270,#5395,#5396); #4874=AXIS2_PLACEMENT_3D('',#6272,#5397,#5398); #4875=AXIS2_PLACEMENT_3D('',#6274,#5399,#5400); #4876=AXIS2_PLACEMENT_3D('',#6275,#5401,#5402); #4877=AXIS2_PLACEMENT_3D('',#6277,#5403,#5404); #4878=AXIS2_PLACEMENT_3D('',#6279,#5405,#5406); #4879=AXIS2_PLACEMENT_3D('',#6280,#5407,#5408); #4880=AXIS2_PLACEMENT_3D('',#6282,#5409,#5410); #4881=AXIS2_PLACEMENT_3D('',#6284,#5411,#5412); #4882=AXIS2_PLACEMENT_3D('',#6285,#5413,#5414); #4883=AXIS2_PLACEMENT_3D('',#6287,#5415,#5416); #4884=AXIS2_PLACEMENT_3D('',#6289,#5417,#5418); #4885=AXIS2_PLACEMENT_3D('',#6290,#5419,#5420); #4886=AXIS2_PLACEMENT_3D('',#6292,#5421,#5422); #4887=AXIS2_PLACEMENT_3D('',#6294,#5423,#5424); #4888=AXIS2_PLACEMENT_3D('',#6295,#5425,#5426); #4889=AXIS2_PLACEMENT_3D('',#6297,#5427,#5428); #4890=AXIS2_PLACEMENT_3D('',#6299,#5429,#5430); #4891=AXIS2_PLACEMENT_3D('',#6300,#5431,#5432); #4892=AXIS2_PLACEMENT_3D('',#6302,#5433,#5434); #4893=AXIS2_PLACEMENT_3D('',#6304,#5435,#5436); #4894=AXIS2_PLACEMENT_3D('',#6305,#5437,#5438); #4895=AXIS2_PLACEMENT_3D('',#6307,#5439,#5440); #4896=AXIS2_PLACEMENT_3D('',#6309,#5441,#5442); #4897=AXIS2_PLACEMENT_3D('',#6310,#5443,#5444); #4898=AXIS2_PLACEMENT_3D('',#6312,#5445,#5446); #4899=AXIS2_PLACEMENT_3D('',#6314,#5447,#5448); #4900=AXIS2_PLACEMENT_3D('',#6315,#5449,#5450); #4901=AXIS2_PLACEMENT_3D('',#6317,#5451,#5452); #4902=AXIS2_PLACEMENT_3D('',#6319,#5453,#5454); #4903=AXIS2_PLACEMENT_3D('',#6320,#5455,#5456); #4904=AXIS2_PLACEMENT_3D('',#6322,#5457,#5458); #4905=AXIS2_PLACEMENT_3D('',#6324,#5459,#5460); #4906=AXIS2_PLACEMENT_3D('',#6325,#5461,#5462); #4907=AXIS2_PLACEMENT_3D('',#6327,#5463,#5464); #4908=AXIS2_PLACEMENT_3D('',#6329,#5465,#5466); #4909=AXIS2_PLACEMENT_3D('',#6330,#5467,#5468); #4910=AXIS2_PLACEMENT_3D('',#6332,#5469,#5470); #4911=AXIS2_PLACEMENT_3D('',#6334,#5471,#5472); #4912=AXIS2_PLACEMENT_3D('',#6335,#5473,#5474); #4913=AXIS2_PLACEMENT_3D('',#6337,#5475,#5476); #4914=AXIS2_PLACEMENT_3D('',#6339,#5477,#5478); #4915=AXIS2_PLACEMENT_3D('',#6340,#5479,#5480); #4916=AXIS2_PLACEMENT_3D('',#6342,#5481,#5482); #4917=AXIS2_PLACEMENT_3D('',#6344,#5483,#5484); #4918=AXIS2_PLACEMENT_3D('',#6345,#5485,#5486); #4919=AXIS2_PLACEMENT_3D('',#6347,#5487,#5488); #4920=AXIS2_PLACEMENT_3D('',#6349,#5489,#5490); #4921=AXIS2_PLACEMENT_3D('',#6350,#5491,#5492); #4922=AXIS2_PLACEMENT_3D('',#6352,#5493,#5494); #4923=AXIS2_PLACEMENT_3D('',#6354,#5495,#5496); #4924=AXIS2_PLACEMENT_3D('',#6355,#5497,#5498); #4925=AXIS2_PLACEMENT_3D('',#6357,#5499,#5500); #4926=AXIS2_PLACEMENT_3D('',#6359,#5501,#5502); #4927=AXIS2_PLACEMENT_3D('',#6360,#5503,#5504); #4928=AXIS2_PLACEMENT_3D('',#6362,#5505,#5506); #4929=AXIS2_PLACEMENT_3D('',#6364,#5507,#5508); #4930=AXIS2_PLACEMENT_3D('',#6365,#5509,#5510); #4931=AXIS2_PLACEMENT_3D('',#6367,#5511,#5512); #4932=AXIS2_PLACEMENT_3D('',#6369,#5513,#5514); #4933=AXIS2_PLACEMENT_3D('',#6370,#5515,#5516); #4934=AXIS2_PLACEMENT_3D('',#6372,#5517,#5518); #4935=AXIS2_PLACEMENT_3D('',#6374,#5519,#5520); #4936=AXIS2_PLACEMENT_3D('',#6375,#5521,#5522); #4937=AXIS2_PLACEMENT_3D('',#6377,#5523,#5524); #4938=AXIS2_PLACEMENT_3D('',#6379,#5525,#5526); #4939=AXIS2_PLACEMENT_3D('',#6380,#5527,#5528); #4940=AXIS2_PLACEMENT_3D('',#6382,#5529,#5530); #4941=AXIS2_PLACEMENT_3D('',#6384,#5531,#5532); #4942=AXIS2_PLACEMENT_3D('',#6385,#5533,#5534); #4943=AXIS2_PLACEMENT_3D('',#6387,#5535,#5536); #4944=AXIS2_PLACEMENT_3D('',#6389,#5537,#5538); #4945=AXIS2_PLACEMENT_3D('',#6390,#5539,#5540); #4946=AXIS2_PLACEMENT_3D('',#6392,#5541,#5542); #4947=AXIS2_PLACEMENT_3D('',#6394,#5543,#5544); #4948=AXIS2_PLACEMENT_3D('',#6395,#5545,#5546); #4949=AXIS2_PLACEMENT_3D('',#6397,#5547,#5548); #4950=AXIS2_PLACEMENT_3D('',#6399,#5549,#5550); #4951=AXIS2_PLACEMENT_3D('',#6400,#5551,#5552); #4952=AXIS2_PLACEMENT_3D('',#6402,#5553,#5554); #4953=AXIS2_PLACEMENT_3D('',#6404,#5555,#5556); #4954=AXIS2_PLACEMENT_3D('',#6405,#5557,#5558); #4955=AXIS2_PLACEMENT_3D('',#6407,#5559,#5560); #4956=AXIS2_PLACEMENT_3D('',#6409,#5561,#5562); #4957=AXIS2_PLACEMENT_3D('',#6410,#5563,#5564); #4958=AXIS2_PLACEMENT_3D('',#6412,#5565,#5566); #4959=AXIS2_PLACEMENT_3D('',#6414,#5567,#5568); #4960=AXIS2_PLACEMENT_3D('',#6415,#5569,#5570); #4961=AXIS2_PLACEMENT_3D('',#6417,#5571,#5572); #4962=AXIS2_PLACEMENT_3D('',#6419,#5573,#5574); #4963=AXIS2_PLACEMENT_3D('',#6420,#5575,#5576); #4964=AXIS2_PLACEMENT_3D('',#6422,#5577,#5578); #4965=AXIS2_PLACEMENT_3D('',#6424,#5579,#5580); #4966=AXIS2_PLACEMENT_3D('',#6425,#5581,#5582); #4967=AXIS2_PLACEMENT_3D('',#6427,#5583,#5584); #4968=AXIS2_PLACEMENT_3D('',#6429,#5585,#5586); #4969=AXIS2_PLACEMENT_3D('',#6430,#5587,#5588); #4970=AXIS2_PLACEMENT_3D('',#6432,#5589,#5590); #4971=AXIS2_PLACEMENT_3D('',#6434,#5591,#5592); #4972=AXIS2_PLACEMENT_3D('',#6435,#5593,#5594); #4973=AXIS2_PLACEMENT_3D('',#6437,#5595,#5596); #4974=AXIS2_PLACEMENT_3D('',#6439,#5597,#5598); #4975=AXIS2_PLACEMENT_3D('',#6440,#5599,#5600); #4976=AXIS2_PLACEMENT_3D('',#6442,#5601,#5602); #4977=AXIS2_PLACEMENT_3D('',#6444,#5603,#5604); #4978=AXIS2_PLACEMENT_3D('',#6445,#5605,#5606); #4979=AXIS2_PLACEMENT_3D('',#6447,#5607,#5608); #4980=AXIS2_PLACEMENT_3D('',#6449,#5609,#5610); #4981=AXIS2_PLACEMENT_3D('',#6450,#5611,#5612); #4982=AXIS2_PLACEMENT_3D('',#6452,#5613,#5614); #4983=AXIS2_PLACEMENT_3D('',#6454,#5615,#5616); #4984=AXIS2_PLACEMENT_3D('',#6455,#5617,#5618); #4985=AXIS2_PLACEMENT_3D('',#6457,#5619,#5620); #4986=AXIS2_PLACEMENT_3D('',#6459,#5621,#5622); #4987=AXIS2_PLACEMENT_3D('',#6460,#5623,#5624); #4988=AXIS2_PLACEMENT_3D('',#6462,#5625,#5626); #4989=AXIS2_PLACEMENT_3D('',#6464,#5627,#5628); #4990=AXIS2_PLACEMENT_3D('',#6465,#5629,#5630); #4991=AXIS2_PLACEMENT_3D('',#6467,#5631,#5632); #4992=AXIS2_PLACEMENT_3D('',#6469,#5633,#5634); #4993=AXIS2_PLACEMENT_3D('',#6470,#5635,#5636); #4994=AXIS2_PLACEMENT_3D('',#6472,#5637,#5638); #4995=AXIS2_PLACEMENT_3D('',#6474,#5639,#5640); #4996=AXIS2_PLACEMENT_3D('',#6475,#5641,#5642); #4997=AXIS2_PLACEMENT_3D('',#6477,#5643,#5644); #4998=AXIS2_PLACEMENT_3D('',#6479,#5645,#5646); #4999=AXIS2_PLACEMENT_3D('',#6480,#5647,#5648); #5000=AXIS2_PLACEMENT_3D('',#6482,#5649,#5650); #5001=AXIS2_PLACEMENT_3D('',#6484,#5651,#5652); #5002=AXIS2_PLACEMENT_3D('',#6485,#5653,#5654); #5003=AXIS2_PLACEMENT_3D('',#6487,#5655,#5656); #5004=AXIS2_PLACEMENT_3D('',#6489,#5657,#5658); #5005=AXIS2_PLACEMENT_3D('',#6490,#5659,#5660); #5006=AXIS2_PLACEMENT_3D('',#6492,#5661,#5662); #5007=AXIS2_PLACEMENT_3D('',#6494,#5663,#5664); #5008=AXIS2_PLACEMENT_3D('',#6495,#5665,#5666); #5009=AXIS2_PLACEMENT_3D('',#6497,#5667,#5668); #5010=AXIS2_PLACEMENT_3D('',#6499,#5669,#5670); #5011=AXIS2_PLACEMENT_3D('',#6500,#5671,#5672); #5012=AXIS2_PLACEMENT_3D('',#6502,#5673,#5674); #5013=AXIS2_PLACEMENT_3D('',#6504,#5675,#5676); #5014=AXIS2_PLACEMENT_3D('',#6505,#5677,#5678); #5015=AXIS2_PLACEMENT_3D('',#6507,#5679,#5680); #5016=AXIS2_PLACEMENT_3D('',#6509,#5681,#5682); #5017=AXIS2_PLACEMENT_3D('',#6510,#5683,#5684); #5018=AXIS2_PLACEMENT_3D('',#6512,#5685,#5686); #5019=AXIS2_PLACEMENT_3D('',#6514,#5687,#5688); #5020=AXIS2_PLACEMENT_3D('',#6515,#5689,#5690); #5021=AXIS2_PLACEMENT_3D('',#6517,#5691,#5692); #5022=AXIS2_PLACEMENT_3D('',#6519,#5693,#5694); #5023=AXIS2_PLACEMENT_3D('',#6520,#5695,#5696); #5024=AXIS2_PLACEMENT_3D('',#6522,#5697,#5698); #5025=AXIS2_PLACEMENT_3D('',#6524,#5699,#5700); #5026=AXIS2_PLACEMENT_3D('',#6525,#5701,#5702); #5027=AXIS2_PLACEMENT_3D('',#6527,#5703,#5704); #5028=AXIS2_PLACEMENT_3D('',#6529,#5705,$); #5029=AXIS2_PLACEMENT_3D('',#6530,#5706,$); #5030=AXIS2_PLACEMENT_3D('',#6531,#5707,#5708); #5031=AXIS2_PLACEMENT_3D('',#6532,#5709,#5710); #5032=AXIS2_PLACEMENT_3D('',#6534,#5711,#5712); #5033=AXIS2_PLACEMENT_3D('',#6536,#5713,$); #5034=AXIS2_PLACEMENT_3D('',#6537,#5714,$); #5035=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_51',#6538,#5715,#5716); #5036=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_55',#6539,#5717,#5718); #5037=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_61',#6540,#5719,#5720); #5038=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_65',#6541,#5721,#5722); #5039=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_71',#6542,#5723,#5724); #5040=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_75',#6543,#5725,#5726); #5041=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_81',#6544,#5727,#5728); #5042=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_85',#6545,#5729,#5730); #5043=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_91',#6546,#5731,#5732); #5044=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_95',#6547,#5733,#5734); #5045=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_101',#6548,#5735,#5736); #5046=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_105',#6549,#5737,#5738); #5047=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_111',#6550,#5739,#5740); #5048=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_115',#6551,#5741,#5742); #5049=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_121',#6552,#5743,#5744); #5050=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_125',#6553,#5745,#5746); #5051=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_131',#6554,#5747,#5748); #5052=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_135',#6555,#5749,#5750); #5053=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_141',#6556,#5751,#5752); #5054=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_145',#6557,#5753,#5754); #5055=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_151',#6558,#5755,#5756); #5056=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_155',#6559,#5757,#5758); #5057=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_161',#6560,#5759,#5760); #5058=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_165',#6561,#5761,#5762); #5059=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_171',#6562,#5763,#5764); #5060=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_175',#6563,#5765,#5766); #5061=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_181',#6564,#5767,#5768); #5062=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_185',#6565,#5769,#5770); #5063=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_191',#6566,#5771,#5772); #5064=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_195',#6567,#5773,#5774); #5065=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_201',#6568,#5775,#5776); #5066=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_205',#6569,#5777,#5778); #5067=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_211',#6570,#5779,#5780); #5068=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_215',#6571,#5781,#5782); #5069=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_221',#6572,#5783,#5784); #5070=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_225',#6573,#5785,#5786); #5071=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_231',#6574,#5787,#5788); #5072=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_235',#6575,#5789,#5790); #5073=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_241',#6576,#5791,#5792); #5074=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_245',#6577,#5793,#5794); #5075=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_251',#6578,#5795,#5796); #5076=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_255',#6579,#5797,#5798); #5077=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_261',#6580,#5799,#5800); #5078=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_265',#6581,#5801,#5802); #5079=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_271',#6582,#5803,#5804); #5080=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_275',#6583,#5805,#5806); #5081=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_281',#6584,#5807,#5808); #5082=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_285',#6585,#5809,#5810); #5083=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_291',#6586,#5811,#5812); #5084=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_295',#6587,#5813,#5814); #5085=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_301',#6588,#5815,#5816); #5086=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_305',#6589,#5817,#5818); #5087=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_311',#6590,#5819,#5820); #5088=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_315',#6591,#5821,#5822); #5089=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_321',#6592,#5823,#5824); #5090=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_325',#6593,#5825,#5826); #5091=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_331',#6594,#5827,#5828); #5092=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_335',#6595,#5829,#5830); #5093=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_341',#6596,#5831,#5832); #5094=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_345',#6597,#5833,#5834); #5095=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_351',#6598,#5835,#5836); #5096=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_355',#6599,#5837,#5838); #5097=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_361',#6600,#5839,#5840); #5098=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_365',#6601,#5841,#5842); #5099=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_371',#6602,#5843,#5844); #5100=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_375',#6603,#5845,#5846); #5101=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_381',#6604,#5847,#5848); #5102=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_385',#6605,#5849,#5850); #5103=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_391',#6606,#5851,#5852); #5104=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_395',#6607,#5853,#5854); #5105=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_401',#6608,#5855,#5856); #5106=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_405',#6609,#5857,#5858); #5107=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_411',#6610,#5859,#5860); #5108=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_415',#6611,#5861,#5862); #5109=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_421',#6612,#5863,#5864); #5110=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_425',#6613,#5865,#5866); #5111=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_431',#6614,#5867,#5868); #5112=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_435',#6615,#5869,#5870); #5113=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_441',#6616,#5871,#5872); #5114=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_445',#6617,#5873,#5874); #5115=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_451',#6618,#5875,#5876); #5116=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_455',#6619,#5877,#5878); #5117=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_461',#6620,#5879,#5880); #5118=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_465',#6621,#5881,#5882); #5119=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_471',#6622,#5883,#5884); #5120=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_475',#6623,#5885,#5886); #5121=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_481',#6624,#5887,#5888); #5122=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_485',#6625,#5889,#5890); #5123=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_491',#6626,#5891,#5892); #5124=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_495',#6627,#5893,#5894); #5125=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_501',#6628,#5895,#5896); #5126=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_505',#6629,#5897,#5898); #5127=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_511',#6630,#5899,#5900); #5128=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_515',#6631,#5901,#5902); #5129=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_521',#6632,#5903,#5904); #5130=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_525',#6633,#5905,#5906); #5131=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_531',#6634,#5907,#5908); #5132=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_535',#6635,#5909,#5910); #5133=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_541',#6636,#5911,#5912); #5134=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_545',#6637,#5913,#5914); #5135=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_551',#6638,#5915,#5916); #5136=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_555',#6639,#5917,#5918); #5137=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_561',#6640,#5919,#5920); #5138=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_565',#6641,#5921,#5922); #5139=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_571',#6642,#5923,#5924); #5140=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_575',#6643,#5925,#5926); #5141=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_581',#6644,#5927,#5928); #5142=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_585',#6645,#5929,#5930); #5143=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_591',#6646,#5931,#5932); #5144=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_595',#6647,#5933,#5934); #5145=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_601',#6648,#5935,#5936); #5146=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_605',#6649,#5937,#5938); #5147=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_611',#6650,#5939,#5940); #5148=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_615',#6651,#5941,#5942); #5149=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_621',#6652,#5943,#5944); #5150=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_625',#6653,#5945,#5946); #5151=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_631',#6654,#5947,#5948); #5152=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_635',#6655,#5949,#5950); #5153=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_641',#6656,#5951,#5952); #5154=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_645',#6657,#5953,#5954); #5155=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_651',#6658,#5955,#5956); #5156=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_655',#6659,#5957,#5958); #5157=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_661',#6660,#5959,#5960); #5158=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_665',#6661,#5961,#5962); #5159=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_671',#6662,#5963,#5964); #5160=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_675',#6663,#5965,#5966); #5161=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_681',#6664,#5967,#5968); #5162=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_685',#6665,#5969,#5970); #5163=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_691',#6666,#5971,#5972); #5164=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_695',#6667,#5973,#5974); #5165=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_701',#6668,#5975,#5976); #5166=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_705',#6669,#5977,#5978); #5167=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_711',#6670,#5979,#5980); #5168=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_715',#6671,#5981,#5982); #5169=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_721',#6672,#5983,#5984); #5170=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_725',#6673,#5985,#5986); #5171=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_731',#6674,#5987,#5988); #5172=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_735',#6675,#5989,#5990); #5173=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_741',#6676,#5991,#5992); #5174=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_745',#6677,#5993,#5994); #5175=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_751',#6678,#5995,#5996); #5176=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_755',#6679,#5997,#5998); #5177=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_761',#6680,#5999,#6000); #5178=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_765',#6681,#6001,#6002); #5179=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_771',#6682,#6003,#6004); #5180=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_775',#6683,#6005,#6006); #5181=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_781',#6684,#6007,#6008); #5182=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_785',#6685,#6009,#6010); #5183=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_791',#6686,#6011,#6012); #5184=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_795',#6687,#6013,#6014); #5185=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_801',#6688,#6015,#6016); #5186=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_805',#6689,#6017,#6018); #5187=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_811',#6690,#6019,#6020); #5188=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_815',#6691,#6021,#6022); #5189=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_821',#6692,#6023,#6024); #5190=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_825',#6693,#6025,#6026); #5191=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_831',#6694,#6027,#6028); #5192=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_835',#6695,#6029,#6030); #5193=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_841',#6696,#6031,#6032); #5194=AXIS2_PLACEMENT_3D('AXIS_PLACEMENT_845',#6697,#6033,#6034); #5195=DIRECTION('',(1.31128703695885E-016,0.,1.)); #5196=DIRECTION('',(-1.,0.,1.31128703695885E-016)); #5197=DIRECTION('',(0.,1.,0.)); #5198=DIRECTION('',(1.,0.,-1.31128703695885E-016)); #5199=DIRECTION('',(0.,1.,0.)); #5200=DIRECTION('',(-1.,0.,0.)); #5201=DIRECTION('',(0.,0.,-1.)); #5202=DIRECTION('',(0.,1.,0.)); #5203=DIRECTION('',(0.,0.,1.)); #5204=DIRECTION('',(0.,0.,-1.)); #5205=DIRECTION('',(1.,0.,0.)); #5206=DIRECTION('',(0.,1.,0.)); #5207=DIRECTION('',(-1.,0.,0.)); #5208=DIRECTION('',(1.,0.,-1.31128703695885E-016)); #5209=DIRECTION('',(1.31128703695885E-016,0.,1.)); #5210=DIRECTION('',(-1.31128703695885E-016,0.,-1.)); #5211=DIRECTION('',(-1.8649415636748E-016,0.,-1.)); #5212=DIRECTION('',(1.,0.,-1.8649415636748E-016)); #5213=DIRECTION('',(0.,1.,0.)); #5214=DIRECTION('',(1.,0.,-1.8649415636748E-016)); #5215=DIRECTION('',(0.,1.,0.)); #5216=DIRECTION('',(-1.,0.,9.32470781837402E-017)); #5217=DIRECTION('',(-9.32470781837402E-017,0.,-1.)); #5218=DIRECTION('',(0.,1.,0.)); #5219=DIRECTION('',(-9.32470781837402E-017,0.,-1.)); #5220=DIRECTION('',(0.,0.,1.)); #5221=DIRECTION('',(-1.,0.,0.)); #5222=DIRECTION('',(0.,1.,0.)); #5223=DIRECTION('',(-1.,0.,0.)); #5224=DIRECTION('',(1.,0.,0.)); #5225=DIRECTION('',(0.,0.,1.)); #5226=DIRECTION('',(0.,0.,1.)); #5227=DIRECTION('',(0.,1.,0.)); #5228=DIRECTION('',(0.,1.,0.)); #5229=DIRECTION('',(1.31128703695885E-016,0.,1.)); #5230=DIRECTION('',(-1.,0.,1.31128703695885E-016)); #5231=DIRECTION('',(0.,1.,0.)); #5232=DIRECTION('',(1.,0.,-1.31128703695885E-016)); #5233=DIRECTION('',(0.,1.,0.)); #5234=DIRECTION('',(-1.,0.,0.)); #5235=DIRECTION('',(0.,0.,-1.)); #5236=DIRECTION('',(0.,1.,0.)); #5237=DIRECTION('',(0.,0.,1.)); #5238=DIRECTION('',(0.,0.,-1.)); #5239=DIRECTION('',(1.,0.,0.)); #5240=DIRECTION('',(0.,1.,0.)); #5241=DIRECTION('',(-1.,0.,0.)); #5242=DIRECTION('',(1.,0.,-1.31128703695885E-016)); #5243=DIRECTION('',(1.31128703695885E-016,0.,1.)); #5244=DIRECTION('',(-1.31128703695885E-016,0.,-1.)); #5245=DIRECTION('',(-1.8649415636748E-016,0.,-1.)); #5246=DIRECTION('',(1.,0.,-1.8649415636748E-016)); #5247=DIRECTION('',(0.,1.,0.)); #5248=DIRECTION('',(1.,0.,-1.8649415636748E-016)); #5249=DIRECTION('',(0.,1.,0.)); #5250=DIRECTION('',(-1.,0.,9.32470781837402E-017)); #5251=DIRECTION('',(-9.32470781837402E-017,0.,-1.)); #5252=DIRECTION('',(0.,1.,0.)); #5253=DIRECTION('',(-9.32470781837402E-017,0.,-1.)); #5254=DIRECTION('',(0.,0.,1.)); #5255=DIRECTION('',(-1.,0.,0.)); #5256=DIRECTION('',(0.,1.,0.)); #5257=DIRECTION('',(-1.,0.,0.)); #5258=DIRECTION('',(1.,0.,0.)); #5259=DIRECTION('',(0.,0.,1.)); #5260=DIRECTION('',(0.,0.,1.)); #5261=DIRECTION('',(0.,1.,0.)); #5262=DIRECTION('',(0.,1.,0.)); #5263=DIRECTION('DIRECTION2',(1.24452369295835E-024,3.6227093836808E-011, 1.)); #5264=DIRECTION('DIRECTION3',(-3.55809408567906E-012,1.,-3.6227093836808E-011)); #5265=DIRECTION('DIRECTION6',(0.,0.,1.)); #5266=DIRECTION('DIRECTION7',(1.,0.,0.)); #5267=DIRECTION('DIRECTION12',(-3.21964677147017E-015,3.63456054439058E-011, 1.)); #5268=DIRECTION('DIRECTION13',(1.,-1.42895837320614E-014,3.21964677198305E-015)); #5269=DIRECTION('DIRECTION16',(0.,0.,1.)); #5270=DIRECTION('DIRECTION17',(1.,0.,0.)); #5271=DIRECTION('DIRECTION22',(-6.44578986259414E-044,3.63933327918176E-011, 1.)); #5272=DIRECTION('DIRECTION23',(1.,1.89322440477438E-029,-6.89072162148926E-040)); #5273=DIRECTION('DIRECTION26',(0.,0.,1.)); #5274=DIRECTION('DIRECTION27',(1.,0.,0.)); #5275=DIRECTION('DIRECTION32',(-1.01252339845803E-013,3.6348035692413E-011, 1.)); #5276=DIRECTION('DIRECTION33',(1.,1.49213974509999E-012,1.01252339791609E-013)); #5277=DIRECTION('DIRECTION36',(0.,0.,1.)); #5278=DIRECTION('DIRECTION37',(1.,0.,0.)); #5279=DIRECTION('DIRECTION42',(-1.44328993201334E-015,3.63487756093509E-011, 1.)); #5280=DIRECTION('DIRECTION43',(1.36143026126582E-014,1.,-3.63499857235742E-011)); #5281=DIRECTION('DIRECTION46',(0.,0.,1.)); #5282=DIRECTION('DIRECTION47',(1.,0.,0.)); #5283=DIRECTION('',(1.31128703695885E-016,0.,1.)); #5284=DIRECTION('',(-1.,0.,1.31128703695885E-016)); #5285=DIRECTION('',(0.,1.,0.)); #5286=DIRECTION('',(1.,0.,-1.31128703695885E-016)); #5287=DIRECTION('',(0.,1.,0.)); #5288=DIRECTION('',(-1.,0.,0.)); #5289=DIRECTION('',(0.,0.,-1.)); #5290=DIRECTION('',(0.,1.,0.)); #5291=DIRECTION('',(0.,0.,1.)); #5292=DIRECTION('',(0.,0.,-1.)); #5293=DIRECTION('',(1.,0.,0.)); #5294=DIRECTION('',(0.,1.,0.)); #5295=DIRECTION('',(-1.,0.,0.)); #5296=DIRECTION('',(1.,0.,-1.31128703695885E-016)); #5297=DIRECTION('',(1.31128703695885E-016,0.,1.)); #5298=DIRECTION('',(-1.31128703695885E-016,0.,-1.)); #5299=DIRECTION('',(-1.8649415636748E-016,0.,-1.)); #5300=DIRECTION('',(1.,0.,-1.8649415636748E-016)); #5301=DIRECTION('',(0.,1.,0.)); #5302=DIRECTION('',(1.,0.,-1.8649415636748E-016)); #5303=DIRECTION('',(0.,1.,0.)); #5304=DIRECTION('',(-1.,0.,9.32470781837402E-017)); #5305=DIRECTION('',(-9.32470781837402E-017,0.,-1.)); #5306=DIRECTION('',(0.,1.,0.)); #5307=DIRECTION('',(-9.32470781837402E-017,0.,-1.)); #5308=DIRECTION('',(0.,0.,1.)); #5309=DIRECTION('',(-1.,0.,0.)); #5310=DIRECTION('',(0.,1.,0.)); #5311=DIRECTION('',(-1.,0.,0.)); #5312=DIRECTION('',(1.,0.,0.)); #5313=DIRECTION('',(0.,0.,1.)); #5314=DIRECTION('',(0.,0.,1.)); #5315=DIRECTION('',(0.,1.,0.)); #5316=DIRECTION('',(0.,1.,0.)); #5317=DIRECTION('',(-1.83697019872103E-016,0.,-1.)); #5318=DIRECTION('',(1.,0.,-1.83697019872103E-016)); #5319=DIRECTION('',(0.,1.,0.)); #5320=DIRECTION('',(-1.,0.,1.83697019872103E-016)); #5321=DIRECTION('',(0.,1.,0.)); #5322=DIRECTION('',(1.,0.,0.)); #5323=DIRECTION('',(0.,0.,1.)); #5324=DIRECTION('',(0.,1.,0.)); #5325=DIRECTION('',(0.,0.,-1.)); #5326=DIRECTION('',(0.,0.,1.)); #5327=DIRECTION('',(-1.,0.,0.)); #5328=DIRECTION('',(0.,1.,0.)); #5329=DIRECTION('',(1.,0.,0.)); #5330=DIRECTION('',(-1.,0.,0.)); #5331=DIRECTION('',(0.,0.,-1.)); #5332=DIRECTION('',(0.,0.,1.)); #5333=DIRECTION('',(0.,1.,0.)); #5334=DIRECTION('',(0.158749999999998,0.,0.)); #5335=DIRECTION('',(0.,1.,0.)); #5336=DIRECTION('',(0.158749999999998,0.,0.)); #5337=DIRECTION('',(0.,1.,0.)); #5338=DIRECTION('',(0.158749999999998,0.,0.)); #5339=DIRECTION('',(0.,1.,0.)); #5340=DIRECTION('',(0.158749999999998,0.,0.)); #5341=DIRECTION('',(0.,1.,0.)); #5342=DIRECTION('',(0.158749999999998,0.,0.)); #5343=DIRECTION('',(0.,1.,0.)); #5344=DIRECTION('',(0.158749999999998,0.,0.)); #5345=DIRECTION('',(0.,1.,0.)); #5346=DIRECTION('',(0.158749999999998,0.,0.)); #5347=DIRECTION('',(0.,1.,0.)); #5348=DIRECTION('',(0.158749999999998,0.,0.)); #5349=DIRECTION('',(0.,1.,0.)); #5350=DIRECTION('',(0.158749999999998,0.,0.)); #5351=DIRECTION('',(0.,1.,0.)); #5352=DIRECTION('',(0.158749999999998,0.,0.)); #5353=DIRECTION('',(0.,1.,0.)); #5354=DIRECTION('',(0.158749999999998,0.,0.)); #5355=DIRECTION('',(0.,1.,0.)); #5356=DIRECTION('',(0.158749999999998,0.,0.)); #5357=DIRECTION('',(0.,1.,0.)); #5358=DIRECTION('',(0.158749999999998,0.,0.)); #5359=DIRECTION('',(0.,1.,0.)); #5360=DIRECTION('',(0.158749999999998,0.,0.)); #5361=DIRECTION('',(0.,1.,0.)); #5362=DIRECTION('',(0.158749999999998,0.,0.)); #5363=DIRECTION('',(0.,1.,0.)); #5364=DIRECTION('',(0.158749999999998,0.,0.)); #5365=DIRECTION('',(0.,1.,0.)); #5366=DIRECTION('',(0.158749999999998,0.,0.)); #5367=DIRECTION('',(0.,1.,0.)); #5368=DIRECTION('',(0.158749999999998,0.,0.)); #5369=DIRECTION('',(0.,1.,0.)); #5370=DIRECTION('',(0.158749999999998,0.,0.)); #5371=DIRECTION('',(0.,1.,0.)); #5372=DIRECTION('',(0.158749999999998,0.,0.)); #5373=DIRECTION('',(0.,1.,0.)); #5374=DIRECTION('',(0.158749999999998,0.,0.)); #5375=DIRECTION('',(0.,1.,0.)); #5376=DIRECTION('',(0.158749999999998,0.,0.)); #5377=DIRECTION('',(0.,1.,0.)); #5378=DIRECTION('',(0.158749999999998,0.,0.)); #5379=DIRECTION('',(0.,1.,0.)); #5380=DIRECTION('',(0.158749999999998,0.,0.)); #5381=DIRECTION('',(0.,1.,0.)); #5382=DIRECTION('',(0.158749999999998,0.,0.)); #5383=DIRECTION('',(0.,1.,0.)); #5384=DIRECTION('',(0.158749999999998,0.,0.)); #5385=DIRECTION('',(0.,1.,0.)); #5386=DIRECTION('',(0.158749999999998,0.,0.)); #5387=DIRECTION('',(0.,1.,0.)); #5388=DIRECTION('',(0.158749999999998,0.,0.)); #5389=DIRECTION('',(0.,1.,0.)); #5390=DIRECTION('',(0.158749999999998,0.,0.)); #5391=DIRECTION('',(0.,1.,0.)); #5392=DIRECTION('',(0.158749999999998,0.,0.)); #5393=DIRECTION('',(0.,1.,0.)); #5394=DIRECTION('',(0.15875,0.,0.)); #5395=DIRECTION('',(0.,1.,0.)); #5396=DIRECTION('',(0.15875,0.,0.)); #5397=DIRECTION('',(0.,1.,0.)); #5398=DIRECTION('',(0.15875,0.,0.)); #5399=DIRECTION('',(0.,1.,0.)); #5400=DIRECTION('',(0.15875,0.,0.)); #5401=DIRECTION('',(0.,1.,0.)); #5402=DIRECTION('',(0.15875,0.,0.)); #5403=DIRECTION('',(0.,1.,0.)); #5404=DIRECTION('',(0.15875,0.,0.)); #5405=DIRECTION('',(0.,1.,0.)); #5406=DIRECTION('',(0.158749999999996,0.,0.)); #5407=DIRECTION('',(0.,1.,0.)); #5408=DIRECTION('',(0.158749999999996,0.,0.)); #5409=DIRECTION('',(0.,1.,0.)); #5410=DIRECTION('',(0.158749999999996,0.,0.)); #5411=DIRECTION('',(0.,1.,0.)); #5412=DIRECTION('',(0.15875,0.,0.)); #5413=DIRECTION('',(0.,1.,0.)); #5414=DIRECTION('',(0.15875,0.,0.)); #5415=DIRECTION('',(0.,1.,0.)); #5416=DIRECTION('',(0.15875,0.,0.)); #5417=DIRECTION('',(0.,1.,0.)); #5418=DIRECTION('',(0.158749999999998,0.,0.)); #5419=DIRECTION('',(0.,1.,0.)); #5420=DIRECTION('',(0.158749999999998,0.,0.)); #5421=DIRECTION('',(0.,1.,0.)); #5422=DIRECTION('',(0.158749999999998,0.,0.)); #5423=DIRECTION('',(0.,1.,0.)); #5424=DIRECTION('',(0.15875,0.,0.)); #5425=DIRECTION('',(0.,1.,0.)); #5426=DIRECTION('',(0.15875,0.,0.)); #5427=DIRECTION('',(0.,1.,0.)); #5428=DIRECTION('',(0.15875,0.,0.)); #5429=DIRECTION('',(0.,1.,0.)); #5430=DIRECTION('',(0.158749999999998,0.,0.)); #5431=DIRECTION('',(0.,1.,0.)); #5432=DIRECTION('',(0.158749999999998,0.,0.)); #5433=DIRECTION('',(0.,1.,0.)); #5434=DIRECTION('',(0.158749999999998,0.,0.)); #5435=DIRECTION('',(0.,1.,0.)); #5436=DIRECTION('',(0.158749999999998,0.,0.)); #5437=DIRECTION('',(0.,1.,0.)); #5438=DIRECTION('',(0.158749999999998,0.,0.)); #5439=DIRECTION('',(0.,1.,0.)); #5440=DIRECTION('',(0.158749999999998,0.,0.)); #5441=DIRECTION('',(0.,1.,0.)); #5442=DIRECTION('',(0.158750000000001,0.,0.)); #5443=DIRECTION('',(0.,1.,0.)); #5444=DIRECTION('',(0.158750000000001,0.,0.)); #5445=DIRECTION('',(0.,1.,0.)); #5446=DIRECTION('',(0.158750000000001,0.,0.)); #5447=DIRECTION('',(0.,1.,0.)); #5448=DIRECTION('',(0.158749999999998,0.,0.)); #5449=DIRECTION('',(0.,1.,0.)); #5450=DIRECTION('',(0.158749999999998,0.,0.)); #5451=DIRECTION('',(0.,1.,0.)); #5452=DIRECTION('',(0.158749999999998,0.,0.)); #5453=DIRECTION('',(0.,1.,0.)); #5454=DIRECTION('',(0.158749999999998,0.,0.)); #5455=DIRECTION('',(0.,1.,0.)); #5456=DIRECTION('',(0.158749999999998,0.,0.)); #5457=DIRECTION('',(0.,1.,0.)); #5458=DIRECTION('',(0.158749999999998,0.,0.)); #5459=DIRECTION('',(0.,1.,0.)); #5460=DIRECTION('',(0.158749999999998,0.,0.)); #5461=DIRECTION('',(0.,1.,0.)); #5462=DIRECTION('',(0.158749999999998,0.,0.)); #5463=DIRECTION('',(0.,1.,0.)); #5464=DIRECTION('',(0.158749999999998,0.,0.)); #5465=DIRECTION('',(0.,1.,0.)); #5466=DIRECTION('',(0.158749999999998,0.,0.)); #5467=DIRECTION('',(0.,1.,0.)); #5468=DIRECTION('',(0.158749999999998,0.,0.)); #5469=DIRECTION('',(0.,1.,0.)); #5470=DIRECTION('',(0.158749999999998,0.,0.)); #5471=DIRECTION('',(0.,1.,0.)); #5472=DIRECTION('',(0.158749999999998,0.,0.)); #5473=DIRECTION('',(0.,1.,0.)); #5474=DIRECTION('',(0.158749999999998,0.,0.)); #5475=DIRECTION('',(0.,1.,0.)); #5476=DIRECTION('',(0.158749999999998,0.,0.)); #5477=DIRECTION('',(0.,1.,0.)); #5478=DIRECTION('',(0.158749999999998,0.,0.)); #5479=DIRECTION('',(0.,1.,0.)); #5480=DIRECTION('',(0.158749999999998,0.,0.)); #5481=DIRECTION('',(0.,1.,0.)); #5482=DIRECTION('',(0.158749999999998,0.,0.)); #5483=DIRECTION('',(0.,1.,0.)); #5484=DIRECTION('',(0.158749999999998,0.,0.)); #5485=DIRECTION('',(0.,1.,0.)); #5486=DIRECTION('',(0.158749999999998,0.,0.)); #5487=DIRECTION('',(0.,1.,0.)); #5488=DIRECTION('',(0.158749999999998,0.,0.)); #5489=DIRECTION('',(0.,1.,0.)); #5490=DIRECTION('',(0.158749999999998,0.,0.)); #5491=DIRECTION('',(0.,1.,0.)); #5492=DIRECTION('',(0.158749999999998,0.,0.)); #5493=DIRECTION('',(0.,1.,0.)); #5494=DIRECTION('',(0.158749999999998,0.,0.)); #5495=DIRECTION('',(0.,1.,0.)); #5496=DIRECTION('',(0.158749999999998,0.,0.)); #5497=DIRECTION('',(0.,1.,0.)); #5498=DIRECTION('',(0.158749999999998,0.,0.)); #5499=DIRECTION('',(0.,1.,0.)); #5500=DIRECTION('',(0.158749999999998,0.,0.)); #5501=DIRECTION('',(0.,1.,0.)); #5502=DIRECTION('',(0.158749999999998,0.,0.)); #5503=DIRECTION('',(0.,1.,0.)); #5504=DIRECTION('',(0.158749999999998,0.,0.)); #5505=DIRECTION('',(0.,1.,0.)); #5506=DIRECTION('',(0.158749999999998,0.,0.)); #5507=DIRECTION('',(0.,1.,0.)); #5508=DIRECTION('',(0.158749999999998,0.,0.)); #5509=DIRECTION('',(0.,1.,0.)); #5510=DIRECTION('',(0.158749999999998,0.,0.)); #5511=DIRECTION('',(0.,1.,0.)); #5512=DIRECTION('',(0.158749999999998,0.,0.)); #5513=DIRECTION('',(0.,1.,0.)); #5514=DIRECTION('',(0.158749999999998,0.,0.)); #5515=DIRECTION('',(0.,1.,0.)); #5516=DIRECTION('',(0.158749999999998,0.,0.)); #5517=DIRECTION('',(0.,1.,0.)); #5518=DIRECTION('',(0.158749999999998,0.,0.)); #5519=DIRECTION('',(0.,1.,0.)); #5520=DIRECTION('',(0.158749999999998,0.,0.)); #5521=DIRECTION('',(0.,1.,0.)); #5522=DIRECTION('',(0.158749999999998,0.,0.)); #5523=DIRECTION('',(0.,1.,0.)); #5524=DIRECTION('',(0.158749999999998,0.,0.)); #5525=DIRECTION('',(0.,1.,0.)); #5526=DIRECTION('',(0.158749999999998,0.,0.)); #5527=DIRECTION('',(0.,1.,0.)); #5528=DIRECTION('',(0.158749999999998,0.,0.)); #5529=DIRECTION('',(0.,1.,0.)); #5530=DIRECTION('',(0.158749999999998,0.,0.)); #5531=DIRECTION('',(0.,1.,0.)); #5532=DIRECTION('',(0.158749999999998,0.,0.)); #5533=DIRECTION('',(0.,1.,0.)); #5534=DIRECTION('',(0.158749999999998,0.,0.)); #5535=DIRECTION('',(0.,1.,0.)); #5536=DIRECTION('',(0.158749999999998,0.,0.)); #5537=DIRECTION('',(0.,1.,0.)); #5538=DIRECTION('',(0.158749999999998,0.,0.)); #5539=DIRECTION('',(0.,1.,0.)); #5540=DIRECTION('',(0.158749999999998,0.,0.)); #5541=DIRECTION('',(0.,1.,0.)); #5542=DIRECTION('',(0.158749999999998,0.,0.)); #5543=DIRECTION('',(0.,1.,0.)); #5544=DIRECTION('',(0.158749999999998,0.,0.)); #5545=DIRECTION('',(0.,1.,0.)); #5546=DIRECTION('',(0.158749999999998,0.,0.)); #5547=DIRECTION('',(0.,1.,0.)); #5548=DIRECTION('',(0.158749999999998,0.,0.)); #5549=DIRECTION('',(0.,1.,0.)); #5550=DIRECTION('',(0.158749999999998,0.,0.)); #5551=DIRECTION('',(0.,1.,0.)); #5552=DIRECTION('',(0.158749999999998,0.,0.)); #5553=DIRECTION('',(0.,1.,0.)); #5554=DIRECTION('',(0.158749999999998,0.,0.)); #5555=DIRECTION('',(0.,1.,0.)); #5556=DIRECTION('',(0.158749999999998,0.,0.)); #5557=DIRECTION('',(0.,1.,0.)); #5558=DIRECTION('',(0.158749999999998,0.,0.)); #5559=DIRECTION('',(0.,1.,0.)); #5560=DIRECTION('',(0.158749999999998,0.,0.)); #5561=DIRECTION('',(0.,1.,0.)); #5562=DIRECTION('',(0.158749999999998,0.,0.)); #5563=DIRECTION('',(0.,1.,0.)); #5564=DIRECTION('',(0.158749999999998,0.,0.)); #5565=DIRECTION('',(0.,1.,0.)); #5566=DIRECTION('',(0.158749999999998,0.,0.)); #5567=DIRECTION('',(0.,1.,0.)); #5568=DIRECTION('',(0.158749999999998,0.,0.)); #5569=DIRECTION('',(0.,1.,0.)); #5570=DIRECTION('',(0.158749999999998,0.,0.)); #5571=DIRECTION('',(0.,1.,0.)); #5572=DIRECTION('',(0.158749999999998,0.,0.)); #5573=DIRECTION('',(0.,1.,0.)); #5574=DIRECTION('',(0.158749999999998,0.,0.)); #5575=DIRECTION('',(0.,1.,0.)); #5576=DIRECTION('',(0.158749999999998,0.,0.)); #5577=DIRECTION('',(0.,1.,0.)); #5578=DIRECTION('',(0.158749999999998,0.,0.)); #5579=DIRECTION('',(0.,1.,0.)); #5580=DIRECTION('',(0.158749999999998,0.,0.)); #5581=DIRECTION('',(0.,1.,0.)); #5582=DIRECTION('',(0.158749999999998,0.,0.)); #5583=DIRECTION('',(0.,1.,0.)); #5584=DIRECTION('',(0.158749999999998,0.,0.)); #5585=DIRECTION('',(0.,1.,0.)); #5586=DIRECTION('',(0.158749999999998,0.,0.)); #5587=DIRECTION('',(0.,1.,0.)); #5588=DIRECTION('',(0.158749999999998,0.,0.)); #5589=DIRECTION('',(0.,1.,0.)); #5590=DIRECTION('',(0.158749999999998,0.,0.)); #5591=DIRECTION('',(0.,1.,0.)); #5592=DIRECTION('',(0.158749999999998,0.,0.)); #5593=DIRECTION('',(0.,1.,0.)); #5594=DIRECTION('',(0.158749999999998,0.,0.)); #5595=DIRECTION('',(0.,1.,0.)); #5596=DIRECTION('',(0.158749999999998,0.,0.)); #5597=DIRECTION('',(0.,1.,0.)); #5598=DIRECTION('',(0.158750000000005,0.,0.)); #5599=DIRECTION('',(0.,1.,0.)); #5600=DIRECTION('',(0.158750000000005,0.,0.)); #5601=DIRECTION('',(0.,1.,0.)); #5602=DIRECTION('',(0.158750000000005,0.,0.)); #5603=DIRECTION('',(0.,1.,0.)); #5604=DIRECTION('',(0.158749999999998,0.,0.)); #5605=DIRECTION('',(0.,1.,0.)); #5606=DIRECTION('',(0.158749999999998,0.,0.)); #5607=DIRECTION('',(0.,1.,0.)); #5608=DIRECTION('',(0.158749999999998,0.,0.)); #5609=DIRECTION('',(0.,1.,0.)); #5610=DIRECTION('',(0.158749999999998,0.,0.)); #5611=DIRECTION('',(0.,1.,0.)); #5612=DIRECTION('',(0.158749999999998,0.,0.)); #5613=DIRECTION('',(0.,1.,0.)); #5614=DIRECTION('',(0.158749999999998,0.,0.)); #5615=DIRECTION('',(0.,1.,0.)); #5616=DIRECTION('',(0.158749999999998,0.,0.)); #5617=DIRECTION('',(0.,1.,0.)); #5618=DIRECTION('',(0.158749999999998,0.,0.)); #5619=DIRECTION('',(0.,1.,0.)); #5620=DIRECTION('',(0.158749999999998,0.,0.)); #5621=DIRECTION('',(0.,1.,0.)); #5622=DIRECTION('',(0.158750000000005,0.,0.)); #5623=DIRECTION('',(0.,1.,0.)); #5624=DIRECTION('',(0.158750000000005,0.,0.)); #5625=DIRECTION('',(0.,1.,0.)); #5626=DIRECTION('',(0.158750000000005,0.,0.)); #5627=DIRECTION('',(0.,1.,0.)); #5628=DIRECTION('',(0.158750000000005,0.,0.)); #5629=DIRECTION('',(0.,1.,0.)); #5630=DIRECTION('',(0.158750000000005,0.,0.)); #5631=DIRECTION('',(0.,1.,0.)); #5632=DIRECTION('',(0.158750000000005,0.,0.)); #5633=DIRECTION('',(0.,1.,0.)); #5634=DIRECTION('',(0.158749999999998,0.,0.)); #5635=DIRECTION('',(0.,1.,0.)); #5636=DIRECTION('',(0.158749999999998,0.,0.)); #5637=DIRECTION('',(0.,1.,0.)); #5638=DIRECTION('',(0.158749999999998,0.,0.)); #5639=DIRECTION('',(0.,1.,0.)); #5640=DIRECTION('',(0.158749999999998,0.,0.)); #5641=DIRECTION('',(0.,1.,0.)); #5642=DIRECTION('',(0.158749999999998,0.,0.)); #5643=DIRECTION('',(0.,1.,0.)); #5644=DIRECTION('',(0.158749999999998,0.,0.)); #5645=DIRECTION('',(0.,1.,0.)); #5646=DIRECTION('',(0.158750000000005,0.,0.)); #5647=DIRECTION('',(0.,1.,0.)); #5648=DIRECTION('',(0.158750000000005,0.,0.)); #5649=DIRECTION('',(0.,1.,0.)); #5650=DIRECTION('',(0.158750000000005,0.,0.)); #5651=DIRECTION('',(0.,1.,0.)); #5652=DIRECTION('',(0.158750000000005,0.,0.)); #5653=DIRECTION('',(0.,1.,0.)); #5654=DIRECTION('',(0.158750000000005,0.,0.)); #5655=DIRECTION('',(0.,1.,0.)); #5656=DIRECTION('',(0.158750000000005,0.,0.)); #5657=DIRECTION('',(0.,1.,0.)); #5658=DIRECTION('',(0.158750000000001,0.,0.)); #5659=DIRECTION('',(0.,1.,0.)); #5660=DIRECTION('',(0.158750000000001,0.,0.)); #5661=DIRECTION('',(0.,1.,0.)); #5662=DIRECTION('',(0.158750000000001,0.,0.)); #5663=DIRECTION('',(0.,1.,0.)); #5664=DIRECTION('',(0.158749999999998,0.,0.)); #5665=DIRECTION('',(0.,1.,0.)); #5666=DIRECTION('',(0.158749999999998,0.,0.)); #5667=DIRECTION('',(0.,1.,0.)); #5668=DIRECTION('',(0.158749999999998,0.,0.)); #5669=DIRECTION('',(0.,1.,0.)); #5670=DIRECTION('',(0.158749999999998,0.,0.)); #5671=DIRECTION('',(0.,1.,0.)); #5672=DIRECTION('',(0.158749999999998,0.,0.)); #5673=DIRECTION('',(0.,1.,0.)); #5674=DIRECTION('',(0.158749999999998,0.,0.)); #5675=DIRECTION('',(0.,1.,0.)); #5676=DIRECTION('',(0.158750000000002,0.,0.)); #5677=DIRECTION('',(0.,1.,0.)); #5678=DIRECTION('',(0.158750000000002,0.,0.)); #5679=DIRECTION('',(0.,1.,0.)); #5680=DIRECTION('',(0.158750000000002,0.,0.)); #5681=DIRECTION('',(0.,1.,0.)); #5682=DIRECTION('',(0.15875,0.,0.)); #5683=DIRECTION('',(0.,1.,0.)); #5684=DIRECTION('',(0.15875,0.,0.)); #5685=DIRECTION('',(0.,1.,0.)); #5686=DIRECTION('',(0.15875,0.,0.)); #5687=DIRECTION('',(0.,1.,0.)); #5688=DIRECTION('',(0.158750000000001,0.,0.)); #5689=DIRECTION('',(0.,1.,0.)); #5690=DIRECTION('',(0.158750000000001,0.,0.)); #5691=DIRECTION('',(0.,1.,0.)); #5692=DIRECTION('',(0.158750000000001,0.,0.)); #5693=DIRECTION('',(0.,1.,0.)); #5694=DIRECTION('',(0.15875,0.,0.)); #5695=DIRECTION('',(0.,1.,0.)); #5696=DIRECTION('',(0.15875,0.,0.)); #5697=DIRECTION('',(0.,1.,0.)); #5698=DIRECTION('',(0.15875,0.,0.)); #5699=DIRECTION('',(0.,1.,0.)); #5700=DIRECTION('',(0.158749999999998,0.,0.)); #5701=DIRECTION('',(0.,1.,0.)); #5702=DIRECTION('',(0.158749999999998,0.,0.)); #5703=DIRECTION('',(0.,1.,0.)); #5704=DIRECTION('',(0.158749999999998,0.,0.)); #5705=DIRECTION('',(0.,1.,0.)); #5706=DIRECTION('',(0.,1.,0.)); #5707=DIRECTION('',(0.,1.,0.)); #5708=DIRECTION('',(0.3175,0.,0.)); #5709=DIRECTION('',(0.,-1.,0.)); #5710=DIRECTION('',(0.3175,0.,0.)); #5711=DIRECTION('',(0.,1.,0.)); #5712=DIRECTION('',(0.3175,0.,0.)); #5713=DIRECTION('',(0.,1.,0.)); #5714=DIRECTION('',(0.,1.,0.)); #5715=DIRECTION('DIRECTION52',(2.15833360347412E-014,-3.62383196158216E-011, 1.)); #5716=DIRECTION('DIRECTION53',(1.,3.49032039502241E-014,-2.15833360334764E-014)); #5717=DIRECTION('DIRECTION56',(0.,0.,1.)); #5718=DIRECTION('DIRECTION57',(1.,0.,0.)); #5719=DIRECTION('DIRECTION62',(1.07986443194608E-013,-3.63592319877443E-011, 1.)); #5720=DIRECTION('DIRECTION63',(1.,-1.47586266369105E-012,-1.07986443248269E-013)); #5721=DIRECTION('DIRECTION66',(0.,0.,1.)); #5722=DIRECTION('DIRECTION67',(1.,0.,0.)); #5723=DIRECTION('DIRECTION72',(-1.,5.98974069782144E-015,-2.95509510308902E-013)); #5724=DIRECTION('DIRECTION73',(-5.98974069782353E-015,-1.,-7.74862832156821E-015)); #5725=DIRECTION('DIRECTION76',(0.,0.,1.)); #5726=DIRECTION('DIRECTION77',(1.,0.,0.)); #5727=DIRECTION('DIRECTION82',(1.,-7.30666544449397E-015,-1.00004105430697E-014)); #5728=DIRECTION('DIRECTION83',(7.30666544450615E-015,1.,1.05049410774873E-014)); #5729=DIRECTION('DIRECTION86',(0.,0.,1.)); #5730=DIRECTION('DIRECTION87',(1.,0.,0.)); #5731=DIRECTION('DIRECTION92',(-1.,-2.80384047544029E-014,1.26835479151699E-014)); #5732=DIRECTION('DIRECTION93',(2.80384047532491E-014,-1.,6.26553118692301E-015)); #5733=DIRECTION('DIRECTION96',(0.,0.,1.)); #5734=DIRECTION('DIRECTION97',(1.,0.,0.)); #5735=DIRECTION('DIRECTION102',(1.37849608127E-015,-1.,-7.51077248697868E-015)); #5736=DIRECTION('DIRECTION103',(1.,1.37849608126485E-015,-2.5190962081286E-015)); #5737=DIRECTION('DIRECTION106',(0.,0.,1.)); #5738=DIRECTION('DIRECTION107',(1.,0.,0.)); #5739=DIRECTION('DIRECTION112',(2.52081921655273E-016,-9.94398841293105E-015, 1.)); #5740=DIRECTION('DIRECTION113',(1.,5.22615255758727E-025,-2.52081921655273E-016)); #5741=DIRECTION('DIRECTION116',(0.,0.,1.)); #5742=DIRECTION('DIRECTION117',(1.,0.,0.)); #5743=DIRECTION('DIRECTION122',(-1.34508218746203E-012,-0.924224592736385, -0.381849318686397)); #5744=DIRECTION('DIRECTION123',(-5.80969730842398E-013,-0.381849318686397, 0.924224592736385)); #5745=DIRECTION('DIRECTION126',(0.,0.,1.)); #5746=DIRECTION('DIRECTION127',(1.,0.,0.)); #5747=DIRECTION('DIRECTION132',(-0.383049008063306,0.923728021347041,6.95403512212959E-015)); #5748=DIRECTION('DIRECTION133',(-0.923728021347041,-0.383049008063306,-2.8318999930492E-015)); #5749=DIRECTION('DIRECTION136',(0.,0.,1.)); #5750=DIRECTION('DIRECTION137',(1.,0.,0.)); #5751=DIRECTION('DIRECTION142',(1.51899221724029E-014,-0.983922514451624, -0.178595872167279)); #5752=DIRECTION('DIRECTION143',(-1.70138603160268E-015,-0.178595872167279, 0.983922514451624)); #5753=DIRECTION('DIRECTION146',(0.,0.,1.)); #5754=DIRECTION('DIRECTION147',(1.,0.,0.)); #5755=DIRECTION('DIRECTION152',(0.506306805672764,-0.862353418575841,-2.63500485112341E-014)); #5756=DIRECTION('DIRECTION153',(-0.86235341857584,-0.506306805672764,-1.52061117003035E-014)); #5757=DIRECTION('DIRECTION156',(0.,0.,1.)); #5758=DIRECTION('DIRECTION157',(1.,0.,0.)); #5759=DIRECTION('DIRECTION162',(1.19857727731366E-013,1.16844867115161E-011, 1.)); #5760=DIRECTION('DIRECTION163',(2.01292238742469E-012,-1.,1.16844867115162E-011)); #5761=DIRECTION('DIRECTION166',(0.,0.,1.)); #5762=DIRECTION('DIRECTION167',(1.,0.,0.)); #5763=DIRECTION('DIRECTION172',(0.383049008063222,-0.923728021347074,-4.61403674199742E-013)); #5764=DIRECTION('DIRECTION173',(-0.923728021347073,-0.383049008063222,8.80103394274971E-013)); #5765=DIRECTION('DIRECTION176',(0.,0.,1.)); #5766=DIRECTION('DIRECTION177',(1.,0.,0.)); #5767=DIRECTION('DIRECTION182',(0.383049008062925,-0.923728021347198,-1.93928499831928E-014)); #5768=DIRECTION('DIRECTION183',(-0.923728021347198,-0.383049008062925,5.31440634324441E-015)); #5769=DIRECTION('DIRECTION186',(0.,0.,1.)); #5770=DIRECTION('DIRECTION187',(1.,0.,0.)); #5771=DIRECTION('DIRECTION192',(0.383049008063212,-0.92372802134708,-1.23085208726281E-013)); #5772=DIRECTION('DIRECTION193',(-0.92372802134708,-0.383049008063212,-4.11608952206931E-014)); #5773=DIRECTION('DIRECTION196',(0.,0.,1.)); #5774=DIRECTION('DIRECTION197',(1.,0.,0.)); #5775=DIRECTION('DIRECTION202',(-3.15050050681841E-014,-0.241838261103461, 0.970316574869487)); #5776=DIRECTION('DIRECTION203',(2.21598713028792E-013,0.970316574869487, 0.241838261103461)); #5777=DIRECTION('DIRECTION206',(0.,0.,1.)); #5778=DIRECTION('DIRECTION207',(1.,0.,0.)); #5779=DIRECTION('DIRECTION212',(-3.4679805642426E-012,0.958428167453861, 0.285333923379316)); #5780=DIRECTION('DIRECTION213',(1.01128082031164E-012,-0.285333923379316, 0.958428167453861)); #5781=DIRECTION('DIRECTION216',(0.,0.,1.)); #5782=DIRECTION('DIRECTION217',(1.,0.,0.)); #5783=DIRECTION('DIRECTION222',(6.34251311159206E-014,-0.924224592735088, -0.381849318689535)); #5784=DIRECTION('DIRECTION223',(-7.1538388926118E-014,-0.381849318689535, 0.924224592735088)); #5785=DIRECTION('DIRECTION226',(0.,0.,1.)); #5786=DIRECTION('DIRECTION227',(1.,0.,0.)); #5787=DIRECTION('DIRECTION232',(-1.07063191346929E-013,1.9593049405139E-011, 1.)); #5788=DIRECTION('DIRECTION233',(1.5373747844299E-012,-1.,1.95930494051247E-011)); #5789=DIRECTION('DIRECTION236',(0.,0.,1.)); #5790=DIRECTION('DIRECTION237',(1.,0.,0.)); #5791=DIRECTION('DIRECTION242',(0.285333923384899,-0.958428167452199,1.11738317131738E-013)); #5792=DIRECTION('DIRECTION243',(0.958428167452199,0.285333923384899,-1.93832011992257E-014)); #5793=DIRECTION('DIRECTION246',(0.,0.,1.)); #5794=DIRECTION('DIRECTION247',(1.,0.,0.)); #5795=DIRECTION('DIRECTION252',(0.429680641935165,-0.902980922249294,-1.86056516560452E-016)); #5796=DIRECTION('DIRECTION253',(-0.902980922249293,-0.429680641935165,-1.44668769046032E-014)); #5797=DIRECTION('DIRECTION256',(0.,0.,1.)); #5798=DIRECTION('DIRECTION257',(1.,0.,0.)); #5799=DIRECTION('DIRECTION262',(2.89768209427694E-014,-1.,-3.37092104483872E-015)); #5800=DIRECTION('DIRECTION263',(1.,2.89768209426676E-014,-7.86362867020253E-014)); #5801=DIRECTION('DIRECTION266',(0.,0.,1.)); #5802=DIRECTION('DIRECTION267',(1.,0.,0.)); #5803=DIRECTION('DIRECTION272',(3.58602036953796E-014,-1.,1.10550663871969E-013)); #5804=DIRECTION('DIRECTION273',(1.,3.58602036954041E-014,2.08396963030962E-014)); #5805=DIRECTION('DIRECTION276',(0.,0.,1.)); #5806=DIRECTION('DIRECTION277',(1.,0.,0.)); #5807=DIRECTION('DIRECTION282',(0.383049008061327,-0.92372802134786,2.91684852226452E-015)); #5808=DIRECTION('DIRECTION283',(-0.92372802134786,-0.383049008061327,1.23544089376983E-015)); #5809=DIRECTION('DIRECTION286',(0.,0.,1.)); #5810=DIRECTION('DIRECTION287',(1.,0.,0.)); #5811=DIRECTION('DIRECTION292',(7.94106620634322E-015,3.52755442470025E-012, 1.)); #5812=DIRECTION('DIRECTION293',(1.89439684516009E-014,-1.,3.52755442470017E-012)); #5813=DIRECTION('DIRECTION296',(0.,0.,1.)); #5814=DIRECTION('DIRECTION297',(1.,0.,0.)); #5815=DIRECTION('DIRECTION302',(1.46212286697095E-012,-0.924224592736354, -0.381849318686467)); #5816=DIRECTION('DIRECTION303',(-4.85944837707257E-013,0.381849318686467, -0.924224592736354)); #5817=DIRECTION('DIRECTION306',(0.,0.,1.)); #5818=DIRECTION('DIRECTION307',(1.,0.,0.)); #5819=DIRECTION('DIRECTION312',(2.96270604982502E-014,-0.924224592735073, -0.381849318689568)); #5820=DIRECTION('DIRECTION313',(-9.86181770396985E-015,0.381849318689568, -0.924224592735073)); #5821=DIRECTION('DIRECTION316',(0.,0.,1.)); #5822=DIRECTION('DIRECTION317',(1.,0.,0.)); #5823=DIRECTION('DIRECTION322',(2.04251043853563E-016,2.78602466218273E-014, 1.)); #5824=DIRECTION('DIRECTION323',(-3.34912379524342E-015,-1.,2.78602466217836E-014)); #5825=DIRECTION('DIRECTION326',(0.,0.,1.)); #5826=DIRECTION('DIRECTION327',(1.,0.,0.)); #5827=DIRECTION('DIRECTION332',(-4.61171941437826E-014,-7.74825289975387E-015, 1.)); #5828=DIRECTION('DIRECTION333',(1.,3.27254857584172E-015,4.61171941425412E-014)); #5829=DIRECTION('DIRECTION336',(0.,0.,1.)); #5830=DIRECTION('DIRECTION337',(1.,0.,0.)); #5831=DIRECTION('DIRECTION342',(6.40043573694253E-014,-7.86873509895859E-015, 1.)); #5832=DIRECTION('DIRECTION343',(1.,3.49680819751964E-015,-6.40043573702283E-014)); #5833=DIRECTION('DIRECTION346',(0.,0.,1.)); #5834=DIRECTION('DIRECTION347',(1.,0.,0.)); #5835=DIRECTION('DIRECTION352',(-4.11515566243158E-014,1.34363027477553E-013, 1.)); #5836=DIRECTION('DIRECTION353',(1.,1.50096252327562E-012,4.11515566237291E-014)); #5837=DIRECTION('DIRECTION356',(0.,0.,1.)); #5838=DIRECTION('DIRECTION357',(1.,0.,0.)); #5839=DIRECTION('DIRECTION362',(6.20611377352353E-014,1.82935333548042E-011, 1.)); #5840=DIRECTION('DIRECTION363',(-2.01149725827171E-012,1.,-1.82935333548086E-011)); #5841=DIRECTION('DIRECTION366',(0.,0.,1.)); #5842=DIRECTION('DIRECTION367',(1.,0.,0.)); #5843=DIRECTION('DIRECTION372',(1.12251923402211E-016,3.56802840524404E-012, 1.)); #5844=DIRECTION('DIRECTION373',(-7.86383477930105E-016,-1.,3.568028405244E-012)); #5845=DIRECTION('DIRECTION376',(0.,0.,1.)); #5846=DIRECTION('DIRECTION377',(1.,0.,0.)); #5847=DIRECTION('DIRECTION382',(6.42592593406954E-014,-3.9834802123542E-013, 1.)); #5848=DIRECTION('DIRECTION383',(-3.13808181202952E-014,1.,3.98348021235621E-013)); #5849=DIRECTION('DIRECTION386',(0.,0.,1.)); #5850=DIRECTION('DIRECTION387',(1.,0.,0.)); #5851=DIRECTION('DIRECTION392',(1.11161651679003E-013,-3.02352587411263E-012, 1.)); #5852=DIRECTION('DIRECTION393',(1.44983348645438E-012,1.,3.02352587411408E-012)); #5853=DIRECTION('DIRECTION396',(0.,0.,1.)); #5854=DIRECTION('DIRECTION397',(1.,0.,0.)); #5855=DIRECTION('DIRECTION402',(-9.48685574542259E-014,1.,-1.07793673333375E-013)); #5856=DIRECTION('DIRECTION403',(1.,9.48685574542131E-014,-5.76860614896118E-014)); #5857=DIRECTION('DIRECTION406',(0.,0.,1.)); #5858=DIRECTION('DIRECTION407',(1.,0.,0.)); #5859=DIRECTION('DIRECTION412',(5.52267798084369E-014,8.153866470907E-012, 1.)); #5860=DIRECTION('DIRECTION413',(-3.60246055701568E-012,1.,-8.15342238169466E-012)); #5861=DIRECTION('DIRECTION416',(0.,0.,1.)); #5862=DIRECTION('DIRECTION417',(1.,0.,0.)); #5863=DIRECTION('DIRECTION422',(-1.22790666523543E-013,1.,5.17798988840713E-015)); #5864=DIRECTION('DIRECTION423',(1.,1.22790666523527E-013,9.87290021337516E-014)); #5865=DIRECTION('DIRECTION426',(0.,0.,1.)); #5866=DIRECTION('DIRECTION427',(1.,0.,0.)); #5867=DIRECTION('DIRECTION432',(0.383049008063985,-0.923728021346759,-6.23750664155758E-015)); #5868=DIRECTION('DIRECTION433',(-0.923728021346759,-0.383049008063985,-2.56066205420386E-015)); #5869=DIRECTION('DIRECTION436',(0.,0.,1.)); #5870=DIRECTION('DIRECTION437',(1.,0.,0.)); #5871=DIRECTION('DIRECTION442',(-2.41738491083313E-013,1.0252795917427E-014, 1.)); #5872=DIRECTION('DIRECTION443',(1.,-6.39561909890022E-015,2.4173849108137E-013)); #5873=DIRECTION('DIRECTION446',(0.,0.,1.)); #5874=DIRECTION('DIRECTION447',(1.,0.,0.)); #5875=DIRECTION('DIRECTION452',(-1.2577115890297E-014,-1.13013419519387E-014, 1.)); #5876=DIRECTION('DIRECTION453',(1.,6.98866552809254E-017,1.25771158903623E-014)); #5877=DIRECTION('DIRECTION456',(0.,0.,1.)); #5878=DIRECTION('DIRECTION457',(1.,0.,0.)); #5879=DIRECTION('DIRECTION462',(-1.2577115890297E-014,-1.13013419519387E-014, 1.)); #5880=DIRECTION('DIRECTION463',(1.,6.98866551387538E-017,1.25771158903623E-014)); #5881=DIRECTION('DIRECTION466',(0.,0.,1.)); #5882=DIRECTION('DIRECTION467',(1.,0.,0.)); #5883=DIRECTION('DIRECTION472',(-1.19652636058448E-014,-7.98300009751467E-015, 1.)); #5884=DIRECTION('DIRECTION473',(1.,6.98866552151696E-017,1.19652636058458E-014)); #5885=DIRECTION('DIRECTION476',(0.,0.,1.)); #5886=DIRECTION('DIRECTION477',(1.,0.,0.)); #5887=DIRECTION('DIRECTION482',(-1.19652636058448E-014,-7.98300009751464E-015, 1.)); #5888=DIRECTION('DIRECTION483',(1.,6.98866551887144E-017,1.19652636058458E-014)); #5889=DIRECTION('DIRECTION486',(0.,0.,1.)); #5890=DIRECTION('DIRECTION487',(1.,0.,0.)); #5891=DIRECTION('DIRECTION492',(7.26124896728555E-015,-0.924224592736289, -0.381849318686625)); #5892=DIRECTION('DIRECTION493',(5.35776822478774E-015,-0.381849318686625, 0.924224592736289)); #5893=DIRECTION('DIRECTION496',(0.,0.,1.)); #5894=DIRECTION('DIRECTION497',(1.,0.,0.)); #5895=DIRECTION('DIRECTION502',(3.20450485979145E-012,-0.902536352275109, -0.430613670036081)); #5896=DIRECTION('DIRECTION503',(1.51896253478615E-012,-0.43061367003608, 0.902536352275109)); #5897=DIRECTION('DIRECTION506',(0.,0.,1.)); #5898=DIRECTION('DIRECTION507',(1.,0.,0.)); #5899=DIRECTION('DIRECTION512',(3.24994985421306E-012,-0.92422459273318, -0.381849318694151)); #5900=DIRECTION('DIRECTION513',(1.34438942653873E-012,-0.381849318694151, 0.92422459273318)); #5901=DIRECTION('DIRECTION516',(0.,0.,1.)); #5902=DIRECTION('DIRECTION517',(1.,0.,0.)); #5903=DIRECTION('DIRECTION522',(-1.40018380466644E-012,-0.924224592740184, -0.381849318677198)); #5904=DIRECTION('DIRECTION523',(4.52640903896941E-013,0.381849318677198, -0.924224592740184)); #5905=DIRECTION('DIRECTION526',(0.,0.,1.)); #5906=DIRECTION('DIRECTION527',(1.,0.,0.)); #5907=DIRECTION('DIRECTION532',(0.38304900806337,-0.92372802134701,-4.31574553724977E-013)); #5908=DIRECTION('DIRECTION533',(-0.923728021347011,-0.38304900806337,1.01782405985417E-012)); #5909=DIRECTION('DIRECTION536',(0.,0.,1.)); #5910=DIRECTION('DIRECTION537',(1.,0.,0.)); #5911=DIRECTION('DIRECTION542',(-3.11738052784936E-013,1.00180487211745E-014, 1.)); #5912=DIRECTION('DIRECTION543',(1.,5.05176864174572E-014,3.11738052784286E-013)); #5913=DIRECTION('DIRECTION546',(0.,0.,1.)); #5914=DIRECTION('DIRECTION547',(1.,0.,0.)); #5915=DIRECTION('DIRECTION552',(2.05890859916593E-013,-1.1684611964396E-015, 1.)); #5916=DIRECTION('DIRECTION553',(1.,4.13941015274567E-014,-2.05890859917145E-013)); #5917=DIRECTION('DIRECTION556',(0.,0.,1.)); #5918=DIRECTION('DIRECTION557',(1.,0.,0.)); #5919=DIRECTION('DIRECTION562',(-1.36198515813679E-012,1.,2.95756791060808E-015)); #5920=DIRECTION('DIRECTION563',(1.,1.36187413583431E-012,-3.54853821471184E-015)); #5921=DIRECTION('DIRECTION566',(0.,0.,1.)); #5922=DIRECTION('DIRECTION567',(1.,0.,0.)); #5923=DIRECTION('DIRECTION572',(-1.39097701904304E-012,-0.924224592738992, -0.381849318680084)); #5924=DIRECTION('DIRECTION573',(4.42428142663363E-013,0.381849318680084, -0.924224592738992)); #5925=DIRECTION('DIRECTION576',(0.,0.,1.)); #5926=DIRECTION('DIRECTION577',(1.,0.,0.)); #5927=DIRECTION('DIRECTION582',(1.6698381533597E-013,5.02375918634804E-014, 1.)); #5928=DIRECTION('DIRECTION583',(1.3767534233251E-012,1.,-5.0459636470308E-014)); #5929=DIRECTION('DIRECTION586',(0.,0.,1.)); #5930=DIRECTION('DIRECTION587',(1.,0.,0.)); #5931=DIRECTION('DIRECTION592',(0.383049008063286,-0.923728021347049,3.07248650551024E-013)); #5932=DIRECTION('DIRECTION593',(-0.92372802134705,-0.383049008063286,-7.61782213856019E-013)); #5933=DIRECTION('DIRECTION596',(0.,0.,1.)); #5934=DIRECTION('DIRECTION597',(1.,0.,0.)); #5935=DIRECTION('DIRECTION602',(0.383049008063335,-0.923728021347029,4.22441059768435E-014)); #5936=DIRECTION('DIRECTION603',(-0.923728021347029,-0.383049008063335,-3.15692007947054E-013)); #5937=DIRECTION('DIRECTION606',(0.,0.,1.)); #5938=DIRECTION('DIRECTION607',(1.,0.,0.)); #5939=DIRECTION('DIRECTION612',(1.64313007640303E-014,-1.,-1.52112675247623E-014)); #5940=DIRECTION('DIRECTION613',(1.,1.64313007644779E-014,-2.2410922386403E-012)); #5941=DIRECTION('DIRECTION616',(0.,0.,1.)); #5942=DIRECTION('DIRECTION617',(1.,0.,0.)); #5943=DIRECTION('DIRECTION622',(-7.09432512737832E-014,1.,1.2877799839285E-013)); #5944=DIRECTION('DIRECTION623',(1.,7.09432512733398E-014,1.39691048391099E-012)); #5945=DIRECTION('DIRECTION626',(0.,0.,1.)); #5946=DIRECTION('DIRECTION627',(1.,0.,0.)); #5947=DIRECTION('DIRECTION632',(1.81141950488289E-012,-0.924224592740761, -0.381849318675803)); #5948=DIRECTION('DIRECTION633',(-8.88792504277035E-013,0.381849318675803, -0.924224592740761)); #5949=DIRECTION('DIRECTION636',(0.,0.,1.)); #5950=DIRECTION('DIRECTION637',(1.,0.,0.)); #5951=DIRECTION('DIRECTION642',(-9.79090982425249E-014,-1.11231993719533E-015, 1.)); #5952=DIRECTION('DIRECTION643',(1.,8.87813067377542E-015,9.79090982412727E-014)); #5953=DIRECTION('DIRECTION646',(0.,0.,1.)); #5954=DIRECTION('DIRECTION647',(1.,0.,0.)); #5955=DIRECTION('DIRECTION652',(0.38304900806327,-0.923728021347055,1.75620440951967E-013)); #5956=DIRECTION('DIRECTION653',(-0.923728021347055,-0.38304900806327,-5.04767283167838E-015)); #5957=DIRECTION('DIRECTION656',(0.,0.,1.)); #5958=DIRECTION('DIRECTION657',(1.,0.,0.)); #5959=DIRECTION('DIRECTION662',(0.383049008063206,-0.923728021347081,-1.42062485720155E-014)); #5960=DIRECTION('DIRECTION663',(-0.923728021347081,-0.383049008063206,2.40234967131611E-014)); #5961=DIRECTION('DIRECTION666',(0.,0.,1.)); #5962=DIRECTION('DIRECTION667',(1.,0.,0.)); #5963=DIRECTION('DIRECTION672',(2.00387633600206E-014,-0.924224592737666, -0.381849318683294)); #5964=DIRECTION('DIRECTION673',(-1.64263859888619E-015,0.381849318683293, -0.924224592737666)); #5965=DIRECTION('DIRECTION676',(0.,0.,1.)); #5966=DIRECTION('DIRECTION677',(1.,0.,0.)); #5967=DIRECTION('DIRECTION682',(2.03448369262173E-013,-1.11542853673124E-015, 1.)); #5968=DIRECTION('DIRECTION683',(1.,-1.96900059003702E-015,-2.03448369263327E-013)); #5969=DIRECTION('DIRECTION686',(0.,0.,1.)); #5970=DIRECTION('DIRECTION687',(1.,0.,0.)); #5971=DIRECTION('DIRECTION692',(-9.11189373385155E-014,-0.924224592736389, -0.381849318686386)); #5972=DIRECTION('DIRECTION693',(-3.57367781097071E-014,-0.381849318686385, 0.924224592736389)); #5973=DIRECTION('DIRECTION696',(0.,0.,1.)); #5974=DIRECTION('DIRECTION697',(1.,0.,0.)); #5975=DIRECTION('DIRECTION702',(-9.08466026134976E-014,-0.924224592737665, -0.381849318683298)); #5976=DIRECTION('DIRECTION703',(-3.53295983423229E-014,-0.381849318683297, 0.924224592737665)); #5977=DIRECTION('DIRECTION706',(0.,0.,1.)); #5978=DIRECTION('DIRECTION707',(1.,0.,0.)); #5979=DIRECTION('DIRECTION712',(1.45880316404102E-012,-0.924224592735015, -0.38184931868971)); #5980=DIRECTION('DIRECTION713',(-4.95123256071595E-013,0.381849318689709, -0.924224592735015)); #5981=DIRECTION('DIRECTION716',(0.,0.,1.)); #5982=DIRECTION('DIRECTION717',(1.,0.,0.)); #5983=DIRECTION('DIRECTION722',(3.35260576146541E-012,-0.924224592735678, -0.381849318688107)); #5984=DIRECTION('DIRECTION723',(1.32269428086454E-012,-0.381849318688107, 0.924224592735678)); #5985=DIRECTION('DIRECTION726',(0.,0.,1.)); #5986=DIRECTION('DIRECTION727',(1.,0.,0.)); #5987=DIRECTION('DIRECTION732',(-5.79140598775385E-014,-6.0174087934512E-014, 1.)); #5988=DIRECTION('DIRECTION733',(2.93159426238838E-014,-1.,-6.04516436910221E-014)); #5989=DIRECTION('DIRECTION736',(0.,0.,1.)); #5990=DIRECTION('DIRECTION737',(1.,0.,0.)); #5991=DIRECTION('DIRECTION742',(7.67541285913617E-014,2.85374687633818E-015, 1.)); #5992=DIRECTION('DIRECTION743',(1.,8.3836901266441E-015,-7.67541285913353E-014)); #5993=DIRECTION('DIRECTION746',(0.,0.,1.)); #5994=DIRECTION('DIRECTION747',(1.,0.,0.)); #5995=DIRECTION('DIRECTION752',(-3.16413562016082E-015,-4.01693328394695E-015, 1.)); #5996=DIRECTION('DIRECTION753',(1.,-7.68814381645875E-014,3.16413562019841E-015)); #5997=DIRECTION('DIRECTION756',(0.,0.,1.)); #5998=DIRECTION('DIRECTION757',(1.,0.,0.)); #5999=DIRECTION('DIRECTION762',(5.83457325239035E-015,-0.924224592738953, -0.381849318680178)); #6000=DIRECTION('DIRECTION763',(8.69805480676024E-015,-0.381849318680177, 0.924224592738953)); #6001=DIRECTION('DIRECTION766',(0.,0.,1.)); #6002=DIRECTION('DIRECTION767',(1.,0.,0.)); #6003=DIRECTION('DIRECTION772',(6.87060759776954E-016,-0.924224592737668, -0.381849318683288)); #6004=DIRECTION('DIRECTION773',(-1.53674185052512E-015,-0.381849318683287, 0.924224592737668)); #6005=DIRECTION('DIRECTION776',(0.,0.,1.)); #6006=DIRECTION('DIRECTION777',(1.,0.,0.)); #6007=DIRECTION('DIRECTION782',(-1.74897109314621E-013,-1.,-2.54203477165397E-014)); #6008=DIRECTION('DIRECTION783',(1.,-1.74897109314784E-013,5.35028962170956E-013)); #6009=DIRECTION('DIRECTION786',(0.,0.,1.)); #6010=DIRECTION('DIRECTION787',(1.,0.,0.)); #6011=DIRECTION('DIRECTION792',(1.32029850444998E-014,-0.924224592736284, -0.381849318686641)); #6012=DIRECTION('DIRECTION793',(-1.18128026299044E-014,0.381849318686641, -0.924224592736284)); #6013=DIRECTION('DIRECTION796',(0.,0.,1.)); #6014=DIRECTION('DIRECTION797',(1.,0.,0.)); #6015=DIRECTION('DIRECTION802',(-6.10622663560549E-015,-4.05345117694248E-016, 1.)); #6016=DIRECTION('DIRECTION803',(1.,-1.72573500190863E-014,6.10622663524586E-015)); #6017=DIRECTION('DIRECTION806',(0.,0.,1.)); #6018=DIRECTION('DIRECTION807',(1.,0.,0.)); #6019=DIRECTION('DIRECTION812',(4.27435864461006E-015,-1.10634861542699E-014, 1.)); #6020=DIRECTION('DIRECTION813',(1.,3.96878637835179E-014,-4.27435864499159E-015)); #6021=DIRECTION('DIRECTION816',(0.,0.,1.)); #6022=DIRECTION('DIRECTION817',(1.,0.,0.)); #6023=DIRECTION('DIRECTION822',(0.914637645129483,-0.40427463204113,6.6184366068701E-014)); #6024=DIRECTION('DIRECTION823',(-0.404274632041131,-0.914637645129483,-3.93870798154317E-014)); #6025=DIRECTION('DIRECTION826',(0.,0.,1.)); #6026=DIRECTION('DIRECTION827',(1.,0.,0.)); #6027=DIRECTION('DIRECTION832',(-1.19693739986411E-014,3.58640894759787E-012, 1.)); #6028=DIRECTION('DIRECTION833',(-2.28174564663297E-014,1.,-3.58640894759784E-012)); #6029=DIRECTION('DIRECTION836',(0.,0.,1.)); #6030=DIRECTION('DIRECTION837',(1.,0.,0.)); #6031=DIRECTION('DIRECTION842',(3.80251385934117E-014,-1.,1.08268634182883E-013)); #6032=DIRECTION('DIRECTION843',(1.,3.80251385934115E-014,1.35522103273116E-014)); #6033=DIRECTION('DIRECTION846',(0.,0.,1.)); #6034=DIRECTION('DIRECTION847',(1.,0.,0.)); #6035=CARTESIAN_POINT('',(-2.53539903640747,0.,2.54460024833679)); #6036=CARTESIAN_POINT('',(-7.61539903640747,304.8,2.54460024833679)); #6037=CARTESIAN_POINT('',(2.54460096359253,304.8,2.54460024833679)); #6038=CARTESIAN_POINT('',(-7.61539903640747,304.8,2.54460024833679)); #6039=CARTESIAN_POINT('',(-7.61539903640747,0.,2.54460024833679)); #6040=CARTESIAN_POINT('',(-7.61539903640747,0.,2.54460024833679)); #6041=CARTESIAN_POINT('',(-7.61539903640747,0.,2.54460024833679)); #6042=CARTESIAN_POINT('',(2.54460096359253,0.,2.54460024833679)); #6043=CARTESIAN_POINT('',(2.54460096359253,0.,2.54460024833679)); #6044=CARTESIAN_POINT('',(-7.61539903640747,0.,-2.53539975166321)); #6045=CARTESIAN_POINT('',(-7.61539903640747,304.8,-7.61539975166321)); #6046=CARTESIAN_POINT('',(-7.61539903640747,304.8,-7.61539975166321)); #6047=CARTESIAN_POINT('',(-7.61539903640747,0.,-7.61539975166321)); #6048=CARTESIAN_POINT('',(-7.61539903640747,0.,-7.61539975166321)); #6049=CARTESIAN_POINT('',(-7.61539903640747,0.,-7.61539975166321)); #6050=CARTESIAN_POINT('',(-2.53539903640747,0.,-7.61539975166321)); #6051=CARTESIAN_POINT('',(2.54460096359253,304.8,-7.61539975166321)); #6052=CARTESIAN_POINT('',(2.54460096359253,304.8,-7.61539975166321)); #6053=CARTESIAN_POINT('',(2.54460096359253,0.,-7.61539975166321)); #6054=CARTESIAN_POINT('',(2.54460096359253,0.,-7.61539975166321)); #6055=CARTESIAN_POINT('',(2.54460096359253,0.,-7.61539975166321)); #6056=CARTESIAN_POINT('',(2.54460096359253,0.,-2.53539975166321)); #6057=CARTESIAN_POINT('',(2.54460096359253,304.8,2.54460024833679)); #6058=CARTESIAN_POINT('',(2.54460096359253,0.,2.54460024833679)); #6059=CARTESIAN_POINT('',(-2.52772884368897,0.,2.19183626174927)); #6060=CARTESIAN_POINT('',(-7.29022884368896,304.8,2.19183626174927)); #6061=CARTESIAN_POINT('',(-7.29022884368896,304.8,2.19183626174927)); #6062=CARTESIAN_POINT('',(2.23477115631103,304.8,2.19183626174927)); #6063=CARTESIAN_POINT('',(2.23477115631103,0.,2.19183626174927)); #6064=CARTESIAN_POINT('',(2.23477115631103,0.,2.19183626174927)); #6065=CARTESIAN_POINT('',(-7.29022884368896,0.,2.19183626174927)); #6066=CARTESIAN_POINT('',(-7.29022884368896,0.,2.19183626174927)); #6067=CARTESIAN_POINT('',(-7.29022884368896,0.,2.19183626174927)); #6068=CARTESIAN_POINT('',(2.23477115631103,0.,-2.57066373825073)); #6069=CARTESIAN_POINT('',(2.23477115631103,304.8,2.19183626174927)); #6070=CARTESIAN_POINT('',(2.23477115631103,304.8,-7.33316373825073)); #6071=CARTESIAN_POINT('',(2.23477115631103,0.,-7.33316373825073)); #6072=CARTESIAN_POINT('',(2.23477115631103,0.,-7.33316373825073)); #6073=CARTESIAN_POINT('',(2.23477115631103,0.,2.19183626174927)); #6074=CARTESIAN_POINT('',(-2.52772884368897,0.,-7.33316373825073)); #6075=CARTESIAN_POINT('',(2.23477115631103,304.8,-7.33316373825073)); #6076=CARTESIAN_POINT('',(-7.29022884368896,304.8,-7.33316373825073)); #6077=CARTESIAN_POINT('',(-7.29022884368896,0.,-7.33316373825073)); #6078=CARTESIAN_POINT('',(-7.29022884368896,0.,-7.33316373825073)); #6079=CARTESIAN_POINT('',(2.23477115631103,0.,-7.33316373825073)); #6080=CARTESIAN_POINT('',(-7.29022884368896,0.,-2.57066373825073)); #6081=CARTESIAN_POINT('',(-7.29022884368896,304.8,-7.33316373825073)); #6082=CARTESIAN_POINT('',(-7.29022884368896,0.,-7.33316373825073)); #6083=CARTESIAN_POINT('',(-2.53539903640747,304.8,-2.53539975166321)); #6084=CARTESIAN_POINT('',(-2.53539903640747,0.,-2.53539975166321)); #6085=CARTESIAN_POINT('',(-2.53539903640747,0.,2.54460024833679)); #6086=CARTESIAN_POINT('',(-7.61539903640747,30.48,2.54460024833679)); #6087=CARTESIAN_POINT('',(2.54460096359253,30.48,2.54460024833679)); #6088=CARTESIAN_POINT('',(-7.61539903640747,30.48,2.54460024833679)); #6089=CARTESIAN_POINT('',(-7.61539903640747,0.,2.54460024833679)); #6090=CARTESIAN_POINT('',(-7.61539903640747,0.,2.54460024833679)); #6091=CARTESIAN_POINT('',(-7.61539903640747,0.,2.54460024833679)); #6092=CARTESIAN_POINT('',(2.54460096359253,0.,2.54460024833679)); #6093=CARTESIAN_POINT('',(2.54460096359253,0.,2.54460024833679)); #6094=CARTESIAN_POINT('',(-7.61539903640747,0.,-2.53539975166321)); #6095=CARTESIAN_POINT('',(-7.61539903640747,30.48,-7.61539975166321)); #6096=CARTESIAN_POINT('',(-7.61539903640747,30.48,-7.61539975166321)); #6097=CARTESIAN_POINT('',(-7.61539903640747,0.,-7.61539975166321)); #6098=CARTESIAN_POINT('',(-7.61539903640747,0.,-7.61539975166321)); #6099=CARTESIAN_POINT('',(-7.61539903640747,0.,-7.61539975166321)); #6100=CARTESIAN_POINT('',(-2.53539903640747,0.,-7.61539975166321)); #6101=CARTESIAN_POINT('',(2.54460096359253,30.48,-7.61539975166321)); #6102=CARTESIAN_POINT('',(2.54460096359253,30.48,-7.61539975166321)); #6103=CARTESIAN_POINT('',(2.54460096359253,0.,-7.61539975166321)); #6104=CARTESIAN_POINT('',(2.54460096359253,0.,-7.61539975166321)); #6105=CARTESIAN_POINT('',(2.54460096359253,0.,-7.61539975166321)); #6106=CARTESIAN_POINT('',(2.54460096359253,0.,-2.53539975166321)); #6107=CARTESIAN_POINT('',(2.54460096359253,30.48,2.54460024833679)); #6108=CARTESIAN_POINT('',(2.54460096359253,0.,2.54460024833679)); #6109=CARTESIAN_POINT('',(-2.52772884368897,0.,2.19183626174927)); #6110=CARTESIAN_POINT('',(-7.29022884368896,30.48,2.19183626174927)); #6111=CARTESIAN_POINT('',(-7.29022884368896,30.48,2.19183626174927)); #6112=CARTESIAN_POINT('',(2.23477115631103,30.48,2.19183626174927)); #6113=CARTESIAN_POINT('',(2.23477115631103,0.,2.19183626174927)); #6114=CARTESIAN_POINT('',(2.23477115631103,0.,2.19183626174927)); #6115=CARTESIAN_POINT('',(-7.29022884368896,0.,2.19183626174927)); #6116=CARTESIAN_POINT('',(-7.29022884368896,0.,2.19183626174927)); #6117=CARTESIAN_POINT('',(-7.29022884368896,0.,2.19183626174927)); #6118=CARTESIAN_POINT('',(2.23477115631103,0.,-2.57066373825073)); #6119=CARTESIAN_POINT('',(2.23477115631103,30.48,2.19183626174927)); #6120=CARTESIAN_POINT('',(2.23477115631103,30.48,-7.33316373825073)); #6121=CARTESIAN_POINT('',(2.23477115631103,0.,-7.33316373825073)); #6122=CARTESIAN_POINT('',(2.23477115631103,0.,-7.33316373825073)); #6123=CARTESIAN_POINT('',(2.23477115631103,0.,2.19183626174927)); #6124=CARTESIAN_POINT('',(-2.52772884368897,0.,-7.33316373825073)); #6125=CARTESIAN_POINT('',(2.23477115631103,30.48,-7.33316373825073)); #6126=CARTESIAN_POINT('',(-7.29022884368896,30.48,-7.33316373825073)); #6127=CARTESIAN_POINT('',(-7.29022884368896,0.,-7.33316373825073)); #6128=CARTESIAN_POINT('',(-7.29022884368896,0.,-7.33316373825073)); #6129=CARTESIAN_POINT('',(2.23477115631103,0.,-7.33316373825073)); #6130=CARTESIAN_POINT('',(-7.29022884368896,0.,-2.57066373825073)); #6131=CARTESIAN_POINT('',(-7.29022884368896,30.48,-7.33316373825073)); #6132=CARTESIAN_POINT('',(-7.29022884368896,0.,-7.33316373825073)); #6133=CARTESIAN_POINT('',(-2.53539903640747,30.48,-2.53539975166321)); #6134=CARTESIAN_POINT('',(-2.53539903640747,0.,-2.53539975166321)); #6135=CARTESIAN_POINT('CARTESIAN_POINT4',(9.0547664144012E-012,3.42089551156517, 71.8041144033988)); #6136=CARTESIAN_POINT('CARTESIAN_POINT8',(0.,0.,0.)); #6137=CARTESIAN_POINT('CARTESIAN_POINT14',(-104.144600963593,5.96549647433154, 71.8041144033048)); #6138=CARTESIAN_POINT('CARTESIAN_POINT18',(0.,0.,0.)); #6139=CARTESIAN_POINT('CARTESIAN_POINT24',(-2.54460096359251,5.96549647432999, 71.8041144033067)); #6140=CARTESIAN_POINT('CARTESIAN_POINT28',(0.,0.,0.)); #6141=CARTESIAN_POINT('CARTESIAN_POINT34',(-297.184600963547,5.96549647433468, 71.804114403305)); #6142=CARTESIAN_POINT('CARTESIAN_POINT38',(0.,0.,0.)); #6143=CARTESIAN_POINT('CARTESIAN_POINT44',(-1.95011792359293E-015,44.0608955107376, 71.8041144019203)); #6144=CARTESIAN_POINT('CARTESIAN_POINT48',(0.,0.,0.)); #6145=CARTESIAN_POINT('',(-2.53539903640747,0.,2.54460024833679)); #6146=CARTESIAN_POINT('',(-7.61539903640747,101.6,2.54460024833679)); #6147=CARTESIAN_POINT('',(2.54460096359253,101.6,2.54460024833679)); #6148=CARTESIAN_POINT('',(-7.61539903640747,101.6,2.54460024833679)); #6149=CARTESIAN_POINT('',(-7.61539903640747,0.,2.54460024833679)); #6150=CARTESIAN_POINT('',(-7.61539903640747,0.,2.54460024833679)); #6151=CARTESIAN_POINT('',(-7.61539903640747,0.,2.54460024833679)); #6152=CARTESIAN_POINT('',(2.54460096359253,0.,2.54460024833679)); #6153=CARTESIAN_POINT('',(2.54460096359253,0.,2.54460024833679)); #6154=CARTESIAN_POINT('',(-7.61539903640747,0.,-2.53539975166321)); #6155=CARTESIAN_POINT('',(-7.61539903640747,101.6,-7.61539975166321)); #6156=CARTESIAN_POINT('',(-7.61539903640747,101.6,-7.61539975166321)); #6157=CARTESIAN_POINT('',(-7.61539903640747,0.,-7.61539975166321)); #6158=CARTESIAN_POINT('',(-7.61539903640747,0.,-7.61539975166321)); #6159=CARTESIAN_POINT('',(-7.61539903640747,0.,-7.61539975166321)); #6160=CARTESIAN_POINT('',(-2.53539903640747,0.,-7.61539975166321)); #6161=CARTESIAN_POINT('',(2.54460096359253,101.6,-7.61539975166321)); #6162=CARTESIAN_POINT('',(2.54460096359253,101.6,-7.61539975166321)); #6163=CARTESIAN_POINT('',(2.54460096359253,0.,-7.61539975166321)); #6164=CARTESIAN_POINT('',(2.54460096359253,0.,-7.61539975166321)); #6165=CARTESIAN_POINT('',(2.54460096359253,0.,-7.61539975166321)); #6166=CARTESIAN_POINT('',(2.54460096359253,0.,-2.53539975166321)); #6167=CARTESIAN_POINT('',(2.54460096359253,101.6,2.54460024833679)); #6168=CARTESIAN_POINT('',(2.54460096359253,0.,2.54460024833679)); #6169=CARTESIAN_POINT('',(-2.52772884368897,0.,2.19183626174927)); #6170=CARTESIAN_POINT('',(-7.29022884368896,101.6,2.19183626174927)); #6171=CARTESIAN_POINT('',(-7.29022884368896,101.6,2.19183626174927)); #6172=CARTESIAN_POINT('',(2.23477115631103,101.6,2.19183626174927)); #6173=CARTESIAN_POINT('',(2.23477115631103,0.,2.19183626174927)); #6174=CARTESIAN_POINT('',(2.23477115631103,0.,2.19183626174927)); #6175=CARTESIAN_POINT('',(-7.29022884368896,0.,2.19183626174927)); #6176=CARTESIAN_POINT('',(-7.29022884368896,0.,2.19183626174927)); #6177=CARTESIAN_POINT('',(-7.29022884368896,0.,2.19183626174927)); #6178=CARTESIAN_POINT('',(2.23477115631103,0.,-2.57066373825073)); #6179=CARTESIAN_POINT('',(2.23477115631103,101.6,2.19183626174927)); #6180=CARTESIAN_POINT('',(2.23477115631103,101.6,-7.33316373825073)); #6181=CARTESIAN_POINT('',(2.23477115631103,0.,-7.33316373825073)); #6182=CARTESIAN_POINT('',(2.23477115631103,0.,-7.33316373825073)); #6183=CARTESIAN_POINT('',(2.23477115631103,0.,2.19183626174927)); #6184=CARTESIAN_POINT('',(-2.52772884368897,0.,-7.33316373825073)); #6185=CARTESIAN_POINT('',(2.23477115631103,101.6,-7.33316373825073)); #6186=CARTESIAN_POINT('',(-7.29022884368896,101.6,-7.33316373825073)); #6187=CARTESIAN_POINT('',(-7.29022884368896,0.,-7.33316373825073)); #6188=CARTESIAN_POINT('',(-7.29022884368896,0.,-7.33316373825073)); #6189=CARTESIAN_POINT('',(2.23477115631103,0.,-7.33316373825073)); #6190=CARTESIAN_POINT('',(-7.29022884368896,0.,-2.57066373825073)); #6191=CARTESIAN_POINT('',(-7.29022884368896,101.6,-7.33316373825073)); #6192=CARTESIAN_POINT('',(-7.29022884368896,0.,-7.33316373825073)); #6193=CARTESIAN_POINT('',(-2.53539903640747,101.6,-2.53539975166321)); #6194=CARTESIAN_POINT('',(-2.53539903640747,0.,-2.53539975166321)); #6195=CARTESIAN_POINT('',(101.6,0.,-1.86636172190056E-014)); #6196=CARTESIAN_POINT('',(203.2,0.3175,-3.73272344380113E-014)); #6197=CARTESIAN_POINT('',(0.,0.3175,0.)); #6198=CARTESIAN_POINT('',(203.2,0.3175,-4.2632564145606E-014)); #6199=CARTESIAN_POINT('',(203.2,0.,-4.2632564145606E-014)); #6200=CARTESIAN_POINT('',(203.2,0.,-4.2632564145606E-014)); #6201=CARTESIAN_POINT('',(203.2,0.,-3.73272344380113E-014)); #6202=CARTESIAN_POINT('',(0.,0.,0.)); #6203=CARTESIAN_POINT('',(0.,0.,0.)); #6204=CARTESIAN_POINT('',(203.2,0.,60.96)); #6205=CARTESIAN_POINT('',(203.2,0.3175,121.92)); #6206=CARTESIAN_POINT('',(203.2,0.3175,121.92)); #6207=CARTESIAN_POINT('',(203.2,0.,121.92)); #6208=CARTESIAN_POINT('',(203.2,0.,121.92)); #6209=CARTESIAN_POINT('',(203.2,0.,121.92)); #6210=CARTESIAN_POINT('',(101.6,0.,121.92)); #6211=CARTESIAN_POINT('',(0.,0.3175,121.92)); #6212=CARTESIAN_POINT('',(0.,0.3175,121.92)); #6213=CARTESIAN_POINT('',(0.,0.,121.92)); #6214=CARTESIAN_POINT('',(0.,0.,121.92)); #6215=CARTESIAN_POINT('',(0.,0.,121.92)); #6216=CARTESIAN_POINT('',(0.,0.,60.96)); #6217=CARTESIAN_POINT('',(0.,0.3175,0.)); #6218=CARTESIAN_POINT('',(0.,0.,0.)); #6219=CARTESIAN_POINT('',(195.58,0.,99.06)); #6220=CARTESIAN_POINT('',(195.58,0.3175,99.06)); #6221=CARTESIAN_POINT('',(195.73875,0.3175,99.06)); #6222=CARTESIAN_POINT('',(195.58,0.,99.06)); #6223=CARTESIAN_POINT('',(195.73875,0.,99.06)); #6224=CARTESIAN_POINT('',(200.66,0.,88.9)); #6225=CARTESIAN_POINT('',(200.66,0.3175,88.9)); #6226=CARTESIAN_POINT('',(200.81875,0.3175,88.9)); #6227=CARTESIAN_POINT('',(200.66,0.,88.9)); #6228=CARTESIAN_POINT('',(200.81875,0.,88.9)); #6229=CARTESIAN_POINT('',(195.58,0.,78.74)); #6230=CARTESIAN_POINT('',(195.58,0.3175,78.74)); #6231=CARTESIAN_POINT('',(195.73875,0.3175,78.74)); #6232=CARTESIAN_POINT('',(195.58,0.,78.74)); #6233=CARTESIAN_POINT('',(195.73875,0.,78.74)); #6234=CARTESIAN_POINT('',(200.66,0.,68.58)); #6235=CARTESIAN_POINT('',(200.66,0.3175,68.58)); #6236=CARTESIAN_POINT('',(200.81875,0.3175,68.58)); #6237=CARTESIAN_POINT('',(200.66,0.,68.58)); #6238=CARTESIAN_POINT('',(200.81875,0.,68.58)); #6239=CARTESIAN_POINT('',(195.58,0.,60.96)); #6240=CARTESIAN_POINT('',(195.58,0.3175,60.96)); #6241=CARTESIAN_POINT('',(195.73875,0.3175,60.96)); #6242=CARTESIAN_POINT('',(195.58,0.,60.96)); #6243=CARTESIAN_POINT('',(195.73875,0.,60.96)); #6244=CARTESIAN_POINT('',(200.66,0.,53.34)); #6245=CARTESIAN_POINT('',(200.66,0.3175,53.34)); #6246=CARTESIAN_POINT('',(200.81875,0.3175,53.34)); #6247=CARTESIAN_POINT('',(200.66,0.,53.34)); #6248=CARTESIAN_POINT('',(200.81875,0.,53.34)); #6249=CARTESIAN_POINT('',(195.58,0.,43.18)); #6250=CARTESIAN_POINT('',(195.58,0.3175,43.18)); #6251=CARTESIAN_POINT('',(195.73875,0.3175,43.18)); #6252=CARTESIAN_POINT('',(195.58,0.,43.18)); #6253=CARTESIAN_POINT('',(195.73875,0.,43.18)); #6254=CARTESIAN_POINT('',(200.66,0.,33.02)); #6255=CARTESIAN_POINT('',(200.66,0.3175,33.02)); #6256=CARTESIAN_POINT('',(200.81875,0.3175,33.02)); #6257=CARTESIAN_POINT('',(200.66,0.,33.02)); #6258=CARTESIAN_POINT('',(200.81875,0.,33.02)); #6259=CARTESIAN_POINT('',(195.58,0.,22.86)); #6260=CARTESIAN_POINT('',(195.58,0.3175,22.86)); #6261=CARTESIAN_POINT('',(195.73875,0.3175,22.86)); #6262=CARTESIAN_POINT('',(195.58,0.,22.86)); #6263=CARTESIAN_POINT('',(195.73875,0.,22.86)); #6264=CARTESIAN_POINT('',(200.66,0.,12.7)); #6265=CARTESIAN_POINT('',(200.66,0.3175,12.7)); #6266=CARTESIAN_POINT('',(200.81875,0.3175,12.7)); #6267=CARTESIAN_POINT('',(200.66,0.,12.7)); #6268=CARTESIAN_POINT('',(200.81875,0.,12.7)); #6269=CARTESIAN_POINT('',(2.54,0.,99.06)); #6270=CARTESIAN_POINT('',(2.54,0.3175,99.06)); #6271=CARTESIAN_POINT('',(2.69875,0.3175,99.06)); #6272=CARTESIAN_POINT('',(2.54,0.,99.06)); #6273=CARTESIAN_POINT('',(2.69875,0.,99.06)); #6274=CARTESIAN_POINT('',(7.62,0.,88.9)); #6275=CARTESIAN_POINT('',(7.62,0.3175,88.9)); #6276=CARTESIAN_POINT('',(7.77875,0.3175,88.9)); #6277=CARTESIAN_POINT('',(7.62,0.,88.9)); #6278=CARTESIAN_POINT('',(7.77875,0.,88.9)); #6279=CARTESIAN_POINT('',(2.54,0.,78.74)); #6280=CARTESIAN_POINT('',(2.54,0.3175,78.74)); #6281=CARTESIAN_POINT('',(2.69875,0.3175,78.74)); #6282=CARTESIAN_POINT('',(2.54,0.,78.74)); #6283=CARTESIAN_POINT('',(2.69875,0.,78.74)); #6284=CARTESIAN_POINT('',(7.62,0.,68.58)); #6285=CARTESIAN_POINT('',(7.62,0.3175,68.58)); #6286=CARTESIAN_POINT('',(7.77875,0.3175,68.58)); #6287=CARTESIAN_POINT('',(7.62,0.,68.58)); #6288=CARTESIAN_POINT('',(7.77875,0.,68.58)); #6289=CARTESIAN_POINT('',(2.54,0.,60.96)); #6290=CARTESIAN_POINT('',(2.54,0.3175,60.96)); #6291=CARTESIAN_POINT('',(2.69875,0.3175,60.96)); #6292=CARTESIAN_POINT('',(2.54,0.,60.96)); #6293=CARTESIAN_POINT('',(2.69875,0.,60.96)); #6294=CARTESIAN_POINT('',(7.62,0.,53.34)); #6295=CARTESIAN_POINT('',(7.62,0.3175,53.34)); #6296=CARTESIAN_POINT('',(7.77875,0.3175,53.34)); #6297=CARTESIAN_POINT('',(7.62,0.,53.34)); #6298=CARTESIAN_POINT('',(7.77875,0.,53.34)); #6299=CARTESIAN_POINT('',(2.54,0.,43.18)); #6300=CARTESIAN_POINT('',(2.54,0.3175,43.18)); #6301=CARTESIAN_POINT('',(2.69875,0.3175,43.18)); #6302=CARTESIAN_POINT('',(2.54,0.,43.18)); #6303=CARTESIAN_POINT('',(2.69875,0.,43.18)); #6304=CARTESIAN_POINT('',(7.62,0.,33.02)); #6305=CARTESIAN_POINT('',(7.62,0.3175,33.02)); #6306=CARTESIAN_POINT('',(7.77875,0.3175,33.02)); #6307=CARTESIAN_POINT('',(7.62,0.,33.02)); #6308=CARTESIAN_POINT('',(7.77875,0.,33.02)); #6309=CARTESIAN_POINT('',(2.54,0.,22.86)); #6310=CARTESIAN_POINT('',(2.54,0.3175,22.86)); #6311=CARTESIAN_POINT('',(2.69875,0.3175,22.86)); #6312=CARTESIAN_POINT('',(2.54,0.,22.86)); #6313=CARTESIAN_POINT('',(2.69875,0.,22.86)); #6314=CARTESIAN_POINT('',(195.58,0.,114.3)); #6315=CARTESIAN_POINT('',(195.58,0.3175,114.3)); #6316=CARTESIAN_POINT('',(195.73875,0.3175,114.3)); #6317=CARTESIAN_POINT('',(195.58,0.,114.3)); #6318=CARTESIAN_POINT('',(195.73875,0.,114.3)); #6319=CARTESIAN_POINT('',(185.42,0.,119.38)); #6320=CARTESIAN_POINT('',(185.42,0.3175,119.38)); #6321=CARTESIAN_POINT('',(185.57875,0.3175,119.38)); #6322=CARTESIAN_POINT('',(185.42,0.,119.38)); #6323=CARTESIAN_POINT('',(185.57875,0.,119.38)); #6324=CARTESIAN_POINT('',(195.58,0.,7.62)); #6325=CARTESIAN_POINT('',(195.58,0.3175,7.62)); #6326=CARTESIAN_POINT('',(195.73875,0.3175,7.62)); #6327=CARTESIAN_POINT('',(195.58,0.,7.62)); #6328=CARTESIAN_POINT('',(195.73875,0.,7.62)); #6329=CARTESIAN_POINT('',(185.42,0.,2.54)); #6330=CARTESIAN_POINT('',(185.42,0.3175,2.54)); #6331=CARTESIAN_POINT('',(185.57875,0.3175,2.54)); #6332=CARTESIAN_POINT('',(185.42,0.,2.54)); #6333=CARTESIAN_POINT('',(185.57875,0.,2.54)); #6334=CARTESIAN_POINT('',(175.26,0.,114.3)); #6335=CARTESIAN_POINT('',(175.26,0.3175,114.3)); #6336=CARTESIAN_POINT('',(175.41875,0.3175,114.3)); #6337=CARTESIAN_POINT('',(175.26,0.,114.3)); #6338=CARTESIAN_POINT('',(175.41875,0.,114.3)); #6339=CARTESIAN_POINT('',(165.1,0.,119.38)); #6340=CARTESIAN_POINT('',(165.1,0.3175,119.38)); #6341=CARTESIAN_POINT('',(165.25875,0.3175,119.38)); #6342=CARTESIAN_POINT('',(165.1,0.,119.38)); #6343=CARTESIAN_POINT('',(165.25875,0.,119.38)); #6344=CARTESIAN_POINT('',(175.26,0.,7.62)); #6345=CARTESIAN_POINT('',(175.26,0.3175,7.62)); #6346=CARTESIAN_POINT('',(175.41875,0.3175,7.62)); #6347=CARTESIAN_POINT('',(175.26,0.,7.62)); #6348=CARTESIAN_POINT('',(175.41875,0.,7.62)); #6349=CARTESIAN_POINT('',(165.1,0.,2.54)); #6350=CARTESIAN_POINT('',(165.1,0.3175,2.54)); #6351=CARTESIAN_POINT('',(165.25875,0.3175,2.54)); #6352=CARTESIAN_POINT('',(165.1,0.,2.54)); #6353=CARTESIAN_POINT('',(165.25875,0.,2.54)); #6354=CARTESIAN_POINT('',(154.94,0.,114.3)); #6355=CARTESIAN_POINT('',(154.94,0.3175,114.3)); #6356=CARTESIAN_POINT('',(155.09875,0.3175,114.3)); #6357=CARTESIAN_POINT('',(154.94,0.,114.3)); #6358=CARTESIAN_POINT('',(155.09875,0.,114.3)); #6359=CARTESIAN_POINT('',(144.78,0.,119.38)); #6360=CARTESIAN_POINT('',(144.78,0.3175,119.38)); #6361=CARTESIAN_POINT('',(144.93875,0.3175,119.38)); #6362=CARTESIAN_POINT('',(144.78,0.,119.38)); #6363=CARTESIAN_POINT('',(144.93875,0.,119.38)); #6364=CARTESIAN_POINT('',(154.94,0.,7.62)); #6365=CARTESIAN_POINT('',(154.94,0.3175,7.62)); #6366=CARTESIAN_POINT('',(155.09875,0.3175,7.62)); #6367=CARTESIAN_POINT('',(154.94,0.,7.62)); #6368=CARTESIAN_POINT('',(155.09875,0.,7.62)); #6369=CARTESIAN_POINT('',(144.78,0.,2.54)); #6370=CARTESIAN_POINT('',(144.78,0.3175,2.54)); #6371=CARTESIAN_POINT('',(144.93875,0.3175,2.54)); #6372=CARTESIAN_POINT('',(144.78,0.,2.54)); #6373=CARTESIAN_POINT('',(144.93875,0.,2.54)); #6374=CARTESIAN_POINT('',(134.62,0.,114.3)); #6375=CARTESIAN_POINT('',(134.62,0.3175,114.3)); #6376=CARTESIAN_POINT('',(134.77875,0.3175,114.3)); #6377=CARTESIAN_POINT('',(134.62,0.,114.3)); #6378=CARTESIAN_POINT('',(134.77875,0.,114.3)); #6379=CARTESIAN_POINT('',(124.46,0.,119.38)); #6380=CARTESIAN_POINT('',(124.46,0.3175,119.38)); #6381=CARTESIAN_POINT('',(124.61875,0.3175,119.38)); #6382=CARTESIAN_POINT('',(124.46,0.,119.38)); #6383=CARTESIAN_POINT('',(124.61875,0.,119.38)); #6384=CARTESIAN_POINT('',(134.62,0.,7.62)); #6385=CARTESIAN_POINT('',(134.62,0.3175,7.62)); #6386=CARTESIAN_POINT('',(134.77875,0.3175,7.62)); #6387=CARTESIAN_POINT('',(134.62,0.,7.62)); #6388=CARTESIAN_POINT('',(134.77875,0.,7.62)); #6389=CARTESIAN_POINT('',(124.46,0.,2.54)); #6390=CARTESIAN_POINT('',(124.46,0.3175,2.54)); #6391=CARTESIAN_POINT('',(124.61875,0.3175,2.54)); #6392=CARTESIAN_POINT('',(124.46,0.,2.54)); #6393=CARTESIAN_POINT('',(124.61875,0.,2.54)); #6394=CARTESIAN_POINT('',(114.3,0.,114.3)); #6395=CARTESIAN_POINT('',(114.3,0.3175,114.3)); #6396=CARTESIAN_POINT('',(114.45875,0.3175,114.3)); #6397=CARTESIAN_POINT('',(114.3,0.,114.3)); #6398=CARTESIAN_POINT('',(114.45875,0.,114.3)); #6399=CARTESIAN_POINT('',(104.14,0.,119.38)); #6400=CARTESIAN_POINT('',(104.14,0.3175,119.38)); #6401=CARTESIAN_POINT('',(104.29875,0.3175,119.38)); #6402=CARTESIAN_POINT('',(104.14,0.,119.38)); #6403=CARTESIAN_POINT('',(104.29875,0.,119.38)); #6404=CARTESIAN_POINT('',(114.3,0.,7.62)); #6405=CARTESIAN_POINT('',(114.3,0.3175,7.62)); #6406=CARTESIAN_POINT('',(114.45875,0.3175,7.62)); #6407=CARTESIAN_POINT('',(114.3,0.,7.62)); #6408=CARTESIAN_POINT('',(114.45875,0.,7.62)); #6409=CARTESIAN_POINT('',(104.14,0.,2.54)); #6410=CARTESIAN_POINT('',(104.14,0.3175,2.54)); #6411=CARTESIAN_POINT('',(104.29875,0.3175,2.54)); #6412=CARTESIAN_POINT('',(104.14,0.,2.54)); #6413=CARTESIAN_POINT('',(104.29875,0.,2.54)); #6414=CARTESIAN_POINT('',(93.98,0.,114.3)); #6415=CARTESIAN_POINT('',(93.98,0.3175,114.3)); #6416=CARTESIAN_POINT('',(94.13875,0.3175,114.3)); #6417=CARTESIAN_POINT('',(93.98,0.,114.3)); #6418=CARTESIAN_POINT('',(94.13875,0.,114.3)); #6419=CARTESIAN_POINT('',(83.82,0.,119.38)); #6420=CARTESIAN_POINT('',(83.82,0.3175,119.38)); #6421=CARTESIAN_POINT('',(83.97875,0.3175,119.38)); #6422=CARTESIAN_POINT('',(83.82,0.,119.38)); #6423=CARTESIAN_POINT('',(83.97875,0.,119.38)); #6424=CARTESIAN_POINT('',(93.98,0.,7.62)); #6425=CARTESIAN_POINT('',(93.98,0.3175,7.62)); #6426=CARTESIAN_POINT('',(94.13875,0.3175,7.62)); #6427=CARTESIAN_POINT('',(93.98,0.,7.62)); #6428=CARTESIAN_POINT('',(94.13875,0.,7.62)); #6429=CARTESIAN_POINT('',(83.82,0.,2.54)); #6430=CARTESIAN_POINT('',(83.82,0.3175,2.54)); #6431=CARTESIAN_POINT('',(83.97875,0.3175,2.54)); #6432=CARTESIAN_POINT('',(83.82,0.,2.54)); #6433=CARTESIAN_POINT('',(83.97875,0.,2.54)); #6434=CARTESIAN_POINT('',(73.66,0.,114.3)); #6435=CARTESIAN_POINT('',(73.66,0.3175,114.3)); #6436=CARTESIAN_POINT('',(73.81875,0.3175,114.3)); #6437=CARTESIAN_POINT('',(73.66,0.,114.3)); #6438=CARTESIAN_POINT('',(73.81875,0.,114.3)); #6439=CARTESIAN_POINT('',(63.5,0.,119.38)); #6440=CARTESIAN_POINT('',(63.5,0.3175,119.38)); #6441=CARTESIAN_POINT('',(63.65875,0.3175,119.38)); #6442=CARTESIAN_POINT('',(63.5,0.,119.38)); #6443=CARTESIAN_POINT('',(63.65875,0.,119.38)); #6444=CARTESIAN_POINT('',(73.66,0.,7.62)); #6445=CARTESIAN_POINT('',(73.66,0.3175,7.62)); #6446=CARTESIAN_POINT('',(73.81875,0.3175,7.62)); #6447=CARTESIAN_POINT('',(73.66,0.,7.62)); #6448=CARTESIAN_POINT('',(73.81875,0.,7.62)); #6449=CARTESIAN_POINT('',(63.5,0.,2.54)); #6450=CARTESIAN_POINT('',(63.5,0.3175,2.54)); #6451=CARTESIAN_POINT('',(63.65875,0.3175,2.54)); #6452=CARTESIAN_POINT('',(63.5,0.,2.54)); #6453=CARTESIAN_POINT('',(63.65875,0.,2.54)); #6454=CARTESIAN_POINT('',(53.34,0.,114.3)); #6455=CARTESIAN_POINT('',(53.34,0.3175,114.3)); #6456=CARTESIAN_POINT('',(53.49875,0.3175,114.3)); #6457=CARTESIAN_POINT('',(53.34,0.,114.3)); #6458=CARTESIAN_POINT('',(53.49875,0.,114.3)); #6459=CARTESIAN_POINT('',(43.18,0.,119.38)); #6460=CARTESIAN_POINT('',(43.18,0.3175,119.38)); #6461=CARTESIAN_POINT('',(43.33875,0.3175,119.38)); #6462=CARTESIAN_POINT('',(43.18,0.,119.38)); #6463=CARTESIAN_POINT('',(43.33875,0.,119.38)); #6464=CARTESIAN_POINT('',(53.34,0.,7.62)); #6465=CARTESIAN_POINT('',(53.34,0.3175,7.62)); #6466=CARTESIAN_POINT('',(53.49875,0.3175,7.62)); #6467=CARTESIAN_POINT('',(53.34,0.,7.62)); #6468=CARTESIAN_POINT('',(53.49875,0.,7.62)); #6469=CARTESIAN_POINT('',(43.18,0.,2.54)); #6470=CARTESIAN_POINT('',(43.18,0.3175,2.54)); #6471=CARTESIAN_POINT('',(43.33875,0.3175,2.54)); #6472=CARTESIAN_POINT('',(43.18,0.,2.54)); #6473=CARTESIAN_POINT('',(43.33875,0.,2.54)); #6474=CARTESIAN_POINT('',(33.02,0.,114.3)); #6475=CARTESIAN_POINT('',(33.02,0.3175,114.3)); #6476=CARTESIAN_POINT('',(33.17875,0.3175,114.3)); #6477=CARTESIAN_POINT('',(33.02,0.,114.3)); #6478=CARTESIAN_POINT('',(33.17875,0.,114.3)); #6479=CARTESIAN_POINT('',(22.86,0.,119.38)); #6480=CARTESIAN_POINT('',(22.86,0.3175,119.38)); #6481=CARTESIAN_POINT('',(23.01875,0.3175,119.38)); #6482=CARTESIAN_POINT('',(22.86,0.,119.38)); #6483=CARTESIAN_POINT('',(23.01875,0.,119.38)); #6484=CARTESIAN_POINT('',(33.02,0.,7.62)); #6485=CARTESIAN_POINT('',(33.02,0.3175,7.62)); #6486=CARTESIAN_POINT('',(33.17875,0.3175,7.62)); #6487=CARTESIAN_POINT('',(33.02,0.,7.62)); #6488=CARTESIAN_POINT('',(33.17875,0.,7.62)); #6489=CARTESIAN_POINT('',(22.86,0.,2.54)); #6490=CARTESIAN_POINT('',(22.86,0.3175,2.54)); #6491=CARTESIAN_POINT('',(23.01875,0.3175,2.54)); #6492=CARTESIAN_POINT('',(22.86,0.,2.54)); #6493=CARTESIAN_POINT('',(23.01875,0.,2.54)); #6494=CARTESIAN_POINT('',(12.7,0.,114.3)); #6495=CARTESIAN_POINT('',(12.7,0.3175,114.3)); #6496=CARTESIAN_POINT('',(12.85875,0.3175,114.3)); #6497=CARTESIAN_POINT('',(12.7,0.,114.3)); #6498=CARTESIAN_POINT('',(12.85875,0.,114.3)); #6499=CARTESIAN_POINT('',(7.62,0.,109.22)); #6500=CARTESIAN_POINT('',(7.62,0.3175,109.22)); #6501=CARTESIAN_POINT('',(7.77875,0.3175,109.22)); #6502=CARTESIAN_POINT('',(7.62,0.,109.22)); #6503=CARTESIAN_POINT('',(7.77875,0.,109.22)); #6504=CARTESIAN_POINT('',(2.54,0.,119.38)); #6505=CARTESIAN_POINT('',(2.54,0.3175,119.38)); #6506=CARTESIAN_POINT('',(2.69875,0.3175,119.38)); #6507=CARTESIAN_POINT('',(2.54,0.,119.38)); #6508=CARTESIAN_POINT('',(2.69875,0.,119.38)); #6509=CARTESIAN_POINT('',(7.62,0.,12.7)); #6510=CARTESIAN_POINT('',(7.62,0.3175,12.7)); #6511=CARTESIAN_POINT('',(7.77875,0.3175,12.7)); #6512=CARTESIAN_POINT('',(7.62,0.,12.7)); #6513=CARTESIAN_POINT('',(7.77875,0.,12.7)); #6514=CARTESIAN_POINT('',(12.7,0.,7.62)); #6515=CARTESIAN_POINT('',(12.7,0.3175,7.62)); #6516=CARTESIAN_POINT('',(12.85875,0.3175,7.62)); #6517=CARTESIAN_POINT('',(12.7,0.,7.62)); #6518=CARTESIAN_POINT('',(12.85875,0.,7.62)); #6519=CARTESIAN_POINT('',(2.54,0.,2.54)); #6520=CARTESIAN_POINT('',(2.54,0.3175,2.54)); #6521=CARTESIAN_POINT('',(2.69875,0.3175,2.54)); #6522=CARTESIAN_POINT('',(2.54,0.,2.54)); #6523=CARTESIAN_POINT('',(2.69875,0.,2.54)); #6524=CARTESIAN_POINT('',(200.66,0.,109.22)); #6525=CARTESIAN_POINT('',(200.66,0.3175,109.22)); #6526=CARTESIAN_POINT('',(200.81875,0.3175,109.22)); #6527=CARTESIAN_POINT('',(200.66,0.,109.22)); #6528=CARTESIAN_POINT('',(200.81875,0.,109.22)); #6529=CARTESIAN_POINT('',(101.6,0.3175,60.96)); #6530=CARTESIAN_POINT('',(101.6,0.,60.96)); #6531=CARTESIAN_POINT('',(0.,0.,0.)); #6532=CARTESIAN_POINT('',(0.,10.16,0.)); #6533=CARTESIAN_POINT('',(0.3175,10.16,0.)); #6534=CARTESIAN_POINT('',(0.,0.,0.)); #6535=CARTESIAN_POINT('',(0.3175,0.,0.)); #6536=CARTESIAN_POINT('',(0.,10.16,0.)); #6537=CARTESIAN_POINT('',(0.,0.,0.)); #6538=CARTESIAN_POINT('CARTESIAN_POINT54',(304.800000000014,14.3545035278446, -13.3887146518532)); #6539=CARTESIAN_POINT('CARTESIAN_POINT58',(0.,0.,0.)); #6540=CARTESIAN_POINT('CARTESIAN_POINT64',(304.79999999994,14.3545035270027, -125.148714651893)); #6541=CARTESIAN_POINT('CARTESIAN_POINT68',(0.,0.,0.)); #6542=CARTESIAN_POINT('CARTESIAN_POINT74',(2.54460024833685,12.7046009635925, -50.8000000000023)); #6543=CARTESIAN_POINT('CARTESIAN_POINT78',(0.,0.,0.)); #6544=CARTESIAN_POINT('CARTESIAN_POINT84',(7.61539975166176,58.41539903558, -50.7999999999999)); #6545=CARTESIAN_POINT('CARTESIAN_POINT88',(0.,0.,0.)); #6546=CARTESIAN_POINT('CARTESIAN_POINT94',(195.58460024829,12.7046009636001, -50.8)); #6547=CARTESIAN_POINT('CARTESIAN_POINT98',(0.,0.,0.)); #6548=CARTESIAN_POINT('CARTESIAN_POINT104',(200.655399036361,53.3446002475093, -50.8)); #6549=CARTESIAN_POINT('CARTESIAN_POINT108',(0.,0.,0.)); #6550=CARTESIAN_POINT('CARTESIAN_POINT114',(1.85802312084068E-014,9.84250000000006, -60.9599999999938)); #6551=CARTESIAN_POINT('CARTESIAN_POINT118',(0.,0.,0.)); #6552=CARTESIAN_POINT('CARTESIAN_POINT124',(10.16,50.7999999991575,-60.9600000000027)); #6553=CARTESIAN_POINT('CARTESIAN_POINT128',(0.,0.,0.)); #6554=CARTESIAN_POINT('CARTESIAN_POINT134',(-2.51235084447851E-016,20.32, -60.9599999999987)); #6555=CARTESIAN_POINT('CARTESIAN_POINT138',(0.,0.,0.)); #6556=CARTESIAN_POINT('CARTESIAN_POINT144',(10.1600000001315,20.32,-50.8)); #6557=CARTESIAN_POINT('CARTESIAN_POINT148',(0.,0.,0.)); #6558=CARTESIAN_POINT('CARTESIAN_POINT154',(0.,50.7999999991724,-50.8000000000009)); #6559=CARTESIAN_POINT('CARTESIAN_POINT158',(0.,0.,0.)); #6560=CARTESIAN_POINT('CARTESIAN_POINT164',(1.55182533490006E-011,20.3199999989443, -60.9599999999987)); #6561=CARTESIAN_POINT('CARTESIAN_POINT168',(0.,0.,0.)); #6562=CARTESIAN_POINT('CARTESIAN_POINT174',(193.039999999955,20.3199999993271, -50.8)); #6563=CARTESIAN_POINT('CARTESIAN_POINT178',(0.,0.,0.)); #6564=CARTESIAN_POINT('CARTESIAN_POINT184',(10.16,50.7999999991574,-50.8000000000009)); #6565=CARTESIAN_POINT('CARTESIAN_POINT188',(0.,0.,0.)); #6566=CARTESIAN_POINT('CARTESIAN_POINT194',(10.16,20.3199999989632,-50.8)); #6567=CARTESIAN_POINT('CARTESIAN_POINT198',(0.,0.,0.)); #6568=CARTESIAN_POINT('CARTESIAN_POINT204',(203.200000000014,50.799999999573, 60.9600000000034)); #6569=CARTESIAN_POINT('CARTESIAN_POINT208',(0.,0.,0.)); #6570=CARTESIAN_POINT('CARTESIAN_POINT214',(193.039999999975,20.32,60.9600000000066)); #6571=CARTESIAN_POINT('CARTESIAN_POINT218',(0.,0.,0.)); #6572=CARTESIAN_POINT('CARTESIAN_POINT224',(10.1599999999538,50.799999999573, 60.9600000000079)); #6573=CARTESIAN_POINT('CARTESIAN_POINT228',(0.,0.,0.)); #6574=CARTESIAN_POINT('CARTESIAN_POINT234',(2.15152340388158E-011,20.3199999993087, 60.9600000000104)); #6575=CARTESIAN_POINT('CARTESIAN_POINT238',(0.,0.,0.)); #6576=CARTESIAN_POINT('CARTESIAN_POINT244',(193.040000000014,50.7999999995718, 50.8000000000003)); #6577=CARTESIAN_POINT('CARTESIAN_POINT248',(0.,0.,0.)); #6578=CARTESIAN_POINT('CARTESIAN_POINT254',(193.040000000014,20.32,60.96)); #6579=CARTESIAN_POINT('CARTESIAN_POINT258',(0.,0.,0.)); #6580=CARTESIAN_POINT('CARTESIAN_POINT264',(203.200000000013,20.3200000000364, 50.8)); #6581=CARTESIAN_POINT('CARTESIAN_POINT268',(0.,0.,0.)); #6582=CARTESIAN_POINT('CARTESIAN_POINT274',(203.200000000006,50.7999999995718, 50.8000000000003)); #6583=CARTESIAN_POINT('CARTESIAN_POINT278',(0.,0.,0.)); #6584=CARTESIAN_POINT('CARTESIAN_POINT284',(8.33203108485467E-015,50.7999999991724, 60.9600000000281)); #6585=CARTESIAN_POINT('CARTESIAN_POINT288',(0.,0.,0.)); #6586=CARTESIAN_POINT('CARTESIAN_POINT294',(-8.01533238177829E-015,50.7999999991725, -50.8)); #6587=CARTESIAN_POINT('CARTESIAN_POINT298',(0.,0.,0.)); #6588=CARTESIAN_POINT('CARTESIAN_POINT304',(1.56319401867222E-011,20.3199999997092, 50.799999999981)); #6589=CARTESIAN_POINT('CARTESIAN_POINT308',(0.,0.,0.)); #6590=CARTESIAN_POINT('CARTESIAN_POINT314',(3.50608431176624E-011,50.7999999991724, 50.8)); #6591=CARTESIAN_POINT('CARTESIAN_POINT318',(0.,0.,0.)); #6592=CARTESIAN_POINT('CARTESIAN_POINT324',(1.125499693444E-011,60.9599999991725, -50.8000000000346)); #6593=CARTESIAN_POINT('CARTESIAN_POINT328',(0.,0.,0.)); #6594=CARTESIAN_POINT('CARTESIAN_POINT334',(10.16,50.7999999992021,-50.8)); #6595=CARTESIAN_POINT('CARTESIAN_POINT338',(0.,0.,0.)); #6596=CARTESIAN_POINT('CARTESIAN_POINT344',(10.16,50.799999999555,50.8)); #6597=CARTESIAN_POINT('CARTESIAN_POINT348',(0.,0.,0.)); #6598=CARTESIAN_POINT('CARTESIAN_POINT354',(10.1600000000765,10.1599999993451, 50.8000000000123)); #6599=CARTESIAN_POINT('CARTESIAN_POINT358',(0.,0.,0.)); #6600=CARTESIAN_POINT('CARTESIAN_POINT364',(304.799999999971,20.3199999995494, -50.8000000000332)); #6601=CARTESIAN_POINT('CARTESIAN_POINT368',(0.,0.,0.)); #6602=CARTESIAN_POINT('CARTESIAN_POINT374',(1.67688085639384E-011,60.9599999995544, 50.7999999999828)); #6603=CARTESIAN_POINT('CARTESIAN_POINT378',(0.,0.,0.)); #6604=CARTESIAN_POINT('CARTESIAN_POINT384',(304.800000000013,50.799999999573, 60.9600000000013)); #6605=CARTESIAN_POINT('CARTESIAN_POINT388',(0.,0.,0.)); #6606=CARTESIAN_POINT('CARTESIAN_POINT394',(304.800000000009,50.799999998722, -50.8000000000295)); #6607=CARTESIAN_POINT('CARTESIAN_POINT398',(0.,0.,0.)); #6608=CARTESIAN_POINT('CARTESIAN_POINT404',(10.1600000000143,50.799999999573, 60.9600000000003)); #6609=CARTESIAN_POINT('CARTESIAN_POINT408',(0.,0.,0.)); #6610=CARTESIAN_POINT('CARTESIAN_POINT414',(304.800000000014,20.3200000004002, 60.9600000000047)); #6611=CARTESIAN_POINT('CARTESIAN_POINT418',(0.,0.,0.)); #6612=CARTESIAN_POINT('CARTESIAN_POINT424',(10.1600000000603,20.3199999993451, 60.96)); #6613=CARTESIAN_POINT('CARTESIAN_POINT428',(0.,0.,0.)); #6614=CARTESIAN_POINT('CARTESIAN_POINT434',(2.66453525910039E-015,20.32, 60.960000000028)); #6615=CARTESIAN_POINT('CARTESIAN_POINT438',(0.,0.,0.)); #6616=CARTESIAN_POINT('CARTESIAN_POINT444',(10.16,10.1600000000298,-50.8)); #6617=CARTESIAN_POINT('CARTESIAN_POINT448',(0.,0.,0.)); #6618=CARTESIAN_POINT('CARTESIAN_POINT454',(1.10131652448244E-014,10.16, -50.8)); #6619=CARTESIAN_POINT('CARTESIAN_POINT458',(0.,0.,0.)); #6620=CARTESIAN_POINT('CARTESIAN_POINT464',(1.13681894531994E-014,10.16, 50.8)); #6621=CARTESIAN_POINT('CARTESIAN_POINT468',(0.,0.,0.)); #6622=CARTESIAN_POINT('CARTESIAN_POINT474',(-2.77790381291343E-011,50.7999999991724, 50.8000000000083)); #6623=CARTESIAN_POINT('CARTESIAN_POINT478',(0.,0.,0.)); #6624=CARTESIAN_POINT('CARTESIAN_POINT484',(-2.77861180903345E-011,50.7999999991725, -50.7999999999742)); #6625=CARTESIAN_POINT('CARTESIAN_POINT488',(0.,0.,0.)); #6626=CARTESIAN_POINT('CARTESIAN_POINT494',(10.1600000000054,10.4851701916817, -51.1527639866055)); #6627=CARTESIAN_POINT('CARTESIAN_POINT498',(0.,0.,0.)); #6628=CARTESIAN_POINT('CARTESIAN_POINT504',(10.16,10.4851701920804,51.0822360134187)); #6629=CARTESIAN_POINT('CARTESIAN_POINT508',(0.,0.,0.)); #6630=CARTESIAN_POINT('CARTESIAN_POINT514',(10.16,10.4851701920804,51.0822360134188)); #6631=CARTESIAN_POINT('CARTESIAN_POINT518',(0.,0.,0.)); #6632=CARTESIAN_POINT('CARTESIAN_POINT524',(294.640000000009,50.7999999987371, -60.9600000000332)); #6633=CARTESIAN_POINT('CARTESIAN_POINT528',(0.,0.,0.)); #6634=CARTESIAN_POINT('CARTESIAN_POINT534',(203.199999999998,50.7999999988721, -50.8)); #6635=CARTESIAN_POINT('CARTESIAN_POINT538',(0.,0.,0.)); #6636=CARTESIAN_POINT('CARTESIAN_POINT544',(203.199999999953,10.1600000000002, -50.8)); #6637=CARTESIAN_POINT('CARTESIAN_POINT548',(0.,0.,0.)); #6638=CARTESIAN_POINT('CARTESIAN_POINT554',(203.199999999953,10.1600000000365, 50.8)); #6639=CARTESIAN_POINT('CARTESIAN_POINT558',(0.,0.,0.)); #6640=CARTESIAN_POINT('CARTESIAN_POINT564',(203.199999999954,20.3200000000002, -50.8000000000187)); #6641=CARTESIAN_POINT('CARTESIAN_POINT568',(0.,0.,0.)); #6642=CARTESIAN_POINT('CARTESIAN_POINT574',(193.040000000008,50.7999999988872, -60.9600000000226)); #6643=CARTESIAN_POINT('CARTESIAN_POINT578',(0.,0.,0.)); #6644=CARTESIAN_POINT('CARTESIAN_POINT584',(203.19999999997,20.3199999993486, -60.9600000000242)); #6645=CARTESIAN_POINT('CARTESIAN_POINT588',(0.,0.,0.)); #6646=CARTESIAN_POINT('CARTESIAN_POINT594',(304.800000000001,50.799999998722, -50.8000000000009)); #6647=CARTESIAN_POINT('CARTESIAN_POINT598',(0.,0.,0.)); #6648=CARTESIAN_POINT('CARTESIAN_POINT604',(304.799999999956,20.3199999995494, -50.8)); #6649=CARTESIAN_POINT('CARTESIAN_POINT608',(0.,0.,0.)); #6650=CARTESIAN_POINT('CARTESIAN_POINT614',(294.64,50.7999999987371,-60.96)); #6651=CARTESIAN_POINT('CARTESIAN_POINT618',(0.,0.,0.)); #6652=CARTESIAN_POINT('CARTESIAN_POINT624',(294.639999999956,20.3199999995292, -50.8)); #6653=CARTESIAN_POINT('CARTESIAN_POINT628',(0.,0.,0.)); #6654=CARTESIAN_POINT('CARTESIAN_POINT634',(294.639999999955,20.3199999995305, -60.9600000000322)); #6655=CARTESIAN_POINT('CARTESIAN_POINT638',(0.,0.,0.)); #6656=CARTESIAN_POINT('CARTESIAN_POINT644',(203.199999999954,50.7999999991725, -50.8)); #6657=CARTESIAN_POINT('CARTESIAN_POINT648',(0.,0.,0.)); #6658=CARTESIAN_POINT('CARTESIAN_POINT654',(304.800000000013,50.799999999573, 60.9599999999898)); #6659=CARTESIAN_POINT('CARTESIAN_POINT658',(0.,0.,0.)); #6660=CARTESIAN_POINT('CARTESIAN_POINT664',(304.800000000014,20.3200000004002, 60.9600000000066)); #6661=CARTESIAN_POINT('CARTESIAN_POINT668',(0.,0.,0.)); #6662=CARTESIAN_POINT('CARTESIAN_POINT674',(193.040000000104,20.32,-50.8)); #6663=CARTESIAN_POINT('CARTESIAN_POINT678',(0.,0.,0.)); #6664=CARTESIAN_POINT('CARTESIAN_POINT684',(203.199999999954,50.799999999566, 50.8)); #6665=CARTESIAN_POINT('CARTESIAN_POINT688',(0.,0.,0.)); #6666=CARTESIAN_POINT('CARTESIAN_POINT694',(203.199999999954,50.7999999991725, 50.8000000000033)); #6667=CARTESIAN_POINT('CARTESIAN_POINT698',(0.,0.,0.)); #6668=CARTESIAN_POINT('CARTESIAN_POINT704',(203.199999999954,50.7999999991725, -50.8)); #6669=CARTESIAN_POINT('CARTESIAN_POINT708',(0.,0.,0.)); #6670=CARTESIAN_POINT('CARTESIAN_POINT714',(193.039999999953,20.32,50.8000000000002)); #6671=CARTESIAN_POINT('CARTESIAN_POINT718',(0.,0.,0.)); #6672=CARTESIAN_POINT('CARTESIAN_POINT724',(304.800000000014,20.3200000004002, 50.8000000000066)); #6673=CARTESIAN_POINT('CARTESIAN_POINT728',(0.,0.,0.)); #6674=CARTESIAN_POINT('CARTESIAN_POINT734',(294.640000000013,50.7999999995718, 50.800000000001)); #6675=CARTESIAN_POINT('CARTESIAN_POINT738',(0.,0.,0.)); #6676=CARTESIAN_POINT('CARTESIAN_POINT744',(193.04000000011,10.1599999999999, 50.8000000000006)); #6677=CARTESIAN_POINT('CARTESIAN_POINT748',(0.,0.,0.)); #6678=CARTESIAN_POINT('CARTESIAN_POINT754',(193.039999999954,50.7999999991725, 50.8)); #6679=CARTESIAN_POINT('CARTESIAN_POINT758',(0.,0.,0.)); #6680=CARTESIAN_POINT('CARTESIAN_POINT764',(203.200000000022,60.9599999988721, -50.8000000000346)); #6681=CARTESIAN_POINT('CARTESIAN_POINT768',(0.,0.,0.)); #6682=CARTESIAN_POINT('CARTESIAN_POINT774',(203.200000000028,60.959999999566, 50.7999999999944)); #6683=CARTESIAN_POINT('CARTESIAN_POINT778',(0.,0.,0.)); #6684=CARTESIAN_POINT('CARTESIAN_POINT784',(193.04,50.7999999988872,-60.96)); #6685=CARTESIAN_POINT('CARTESIAN_POINT788',(0.,0.,0.)); #6686=CARTESIAN_POINT('CARTESIAN_POINT794',(193.039999999958,10.1599999999937, 50.8000000000082)); #6687=CARTESIAN_POINT('CARTESIAN_POINT798',(0.,0.,0.)); #6688=CARTESIAN_POINT('CARTESIAN_POINT804',(193.039999999954,50.7999999991725, -50.799999999994)); #6689=CARTESIAN_POINT('CARTESIAN_POINT808',(0.,0.,0.)); #6690=CARTESIAN_POINT('CARTESIAN_POINT814',(193.039999999954,10.1600000000001, -50.8)); #6691=CARTESIAN_POINT('CARTESIAN_POINT818',(0.,0.,0.)); #6692=CARTESIAN_POINT('CARTESIAN_POINT824',(294.640000000014,20.3200000003638, 60.9599999999895)); #6693=CARTESIAN_POINT('CARTESIAN_POINT828',(0.,0.,0.)); #6694=CARTESIAN_POINT('CARTESIAN_POINT834',(203.199999999953,10.1599999993415, -50.8000000000244)); #6695=CARTESIAN_POINT('CARTESIAN_POINT838',(0.,0.,0.)); #6696=CARTESIAN_POINT('CARTESIAN_POINT844',(294.640000000013,50.7999999995718, 50.7999999999898)); #6697=CARTESIAN_POINT('CARTESIAN_POINT848',(0.,0.,0.)); #6698=PLANE('',#4798); #6699=PLANE('',#4799); #6700=PLANE('',#4800); #6701=PLANE('',#4801); #6702=PLANE('',#4802); #6703=PLANE('',#4803); #6704=PLANE('',#4804); #6705=PLANE('',#4805); #6706=PLANE('',#4806); #6707=PLANE('',#4807); #6708=PLANE('',#4808); #6709=PLANE('',#4809); #6710=PLANE('',#4810); #6711=PLANE('',#4811); #6712=PLANE('',#4812); #6713=PLANE('',#4813); #6714=PLANE('',#4814); #6715=PLANE('',#4815); #6716=PLANE('',#4816); #6717=PLANE('',#4817); #6718=PLANE('',#4828); #6719=PLANE('',#4829); #6720=PLANE('',#4830); #6721=PLANE('',#4831); #6722=PLANE('',#4832); #6723=PLANE('',#4833); #6724=PLANE('',#4834); #6725=PLANE('',#4835); #6726=PLANE('',#4836); #6727=PLANE('',#4837); #6728=PLANE('',#4838); #6729=PLANE('',#4839); #6730=PLANE('',#4840); #6731=PLANE('',#4841); #6732=PLANE('',#5028); #6733=PLANE('',#5029); #6734=PLANE('',#5033); #6735=PLANE('',#5034); #6736=CLOSED_SHELL('',(#4697,#4698,#4699,#4700,#4701,#4702,#4703,#4704,#4705, #4706)); #6737=CLOSED_SHELL('',(#4707,#4708,#4709,#4710,#4711,#4712,#4713,#4714,#4715, #4716)); #6738=CLOSED_SHELL('',(#4717,#4718,#4719,#4720,#4721,#4722,#4723,#4724,#4725, #4726)); #6739=CLOSED_SHELL('',(#4727,#4728,#4729,#4730,#4731,#4732,#4733,#4734,#4735, #4736,#4737,#4738,#4739,#4740,#4741,#4742,#4743,#4744,#4745,#4746,#4747, #4748,#4749,#4750,#4751,#4752,#4753,#4754,#4755,#4756,#4757,#4758,#4759, #4760,#4761,#4762,#4763,#4764,#4765,#4766,#4767,#4768,#4769,#4770,#4771, #4772,#4773,#4774,#4775,#4776,#4777,#4778,#4779,#4780,#4781,#4782,#4783, #4784,#4785,#4786,#4787,#4788,#4789,#4790,#4791,#4792,#4793,#4794)); #6740=CLOSED_SHELL('',(#4795,#4796,#4797)); #6741=MANIFOLD_SOLID_BREP('',#6736); #6742=MANIFOLD_SOLID_BREP('',#6737); #6743=MANIFOLD_SOLID_BREP('',#6738); #6744=MANIFOLD_SOLID_BREP('',#6739); #6745=MANIFOLD_SOLID_BREP('',#6740); #6746=ADVANCED_BREP_SHAPE_REPRESENTATION( '4_inch_8th_thick_square_tube_120_inch',(#6741),#3122); #6747=ADVANCED_BREP_SHAPE_REPRESENTATION( '4_inch_8th_thick_square_tube_12_inch',(#6742),#3123); #6748=ADVANCED_BREP_SHAPE_REPRESENTATION( '4_inch_8th_thick_square_tube_40_inch',(#6743),#3125); #6749=ADVANCED_BREP_SHAPE_REPRESENTATION( '48x80_8th_inch_thick_floor_plate',(#6744),#3126); #6750=ADVANCED_BREP_SHAPE_REPRESENTATION( '_25inch_diameter_weld_4inches_long',(#6745),#3127); ENDSEC; END-ISO-10303-21;